Login| Sign Up| Help| Contact|

Patent Searching and Data


Matches 251 - 300 out of 53,198

Document Document Title
WO/2024/019901A1
A bias electrode and a mid-level electrode are disposed within a substrate support. A lower portion of the substrate support exists between the bias electrode and the mid-level electrode. An upper portion of the substrate support exists ...  
WO/2024/015784A1
The present invention relates to corona charge deposition systems that use High Voltage (HV) amplifiers for precisely controlling corona charge deposition. Some implementations, provide a corona charge deposition system that uses multipl...  
WO/2024/013039A1
The present disclosure relates to apparatus and methods for assessing samples (208) using charged particles. In one arrangement, a degassing action is performed by exposing a target area of a sample (208) with charged particles to stimul...  
WO/2024/015694A1
Methods and systems for detecting plasma are provided. In some embodiments, a method for detecting plasma comprises: obtaining data from one or more sensors, wherein the data characterizes a radio frequency (RF) power provided to one or ...  
WO/2024/015158A1
A system includes a chamber configured to produce and contain a plasma. The system includes a transmission line positioned in the chamber. The transmission line includes a transmission line input and includes an output coupled to a commo...  
WO/2024/013102A1
A Radio Frequency, RF, generator in particular for a plasma application, comprising: a cooling element; at least a first RF power stage having a first output and a second RF power stage having a second output, both being mounted onto the...  
WO/2024/013041A1
A charged particle-optical assembly configured to direct a plurality of beams of charged particles in a beam grid towards a sample location, the charged particle-optical assembly comprising: a planar charged particle-optical element conf...  
WO/2024/013040A1
A charged particle-optical assembly manipulates one or more charged particle beams. The assembly comprises: an upbeam element a downbeam element and an isolating spacer. The upbeam and down beam elements each comprie a plate having one o...  
WO/2024/012193A1
A gas spray head and a manufacturing method therefor, and a plasma processing device. A gas spray head (4) disposed at the top of a vacuum reaction chamber (1) of a plasma processing device comprises a spray head base (401) and a nozzle ...  
WO/2024/013042A1
A charged particle-optical apparatus for assessing a sample at an assessment location, the charged particle-optical apparatus comprising: an assessment charged particle-optical device configured to project an assessment charged particle ...  
WO/2024/015187A1
Methods and apparatus for processing substrates are provided herein. In some embodiments, a process kit for a substrate support includes: an upper edge ring made of quartz and having an upper surface and a lower surface, wherein the uppe...  
WO/2024/015273A1
A voltage control system is disclosed and includes: an edge ring configured to be disposed on a substrate support and surround an outer periphery of a substrate; a tunable edge sheath (TES) ring; a generator; and a controller. The TES ri...  
WO/2024/015265A1
A plasma system includes a plasma apparatus including: a plasma chamber; a pedestal configured to hold a substrate in the chamber; and a radio frequency (RF) electrode configured to excite plasma in the chamber; an electromagnetic (EM) c...  
WO/2024/015304A1
A radiofrequency (RF) power amplifier for a plasma processing system includes a switching transistor having a drain terminal, a source terminal, and a gate. The source terminal is connected to a reference ground potential. The RF power a...  
WO/2024/015184A1
A miniature electron optical column apparatus is disclosed. The apparatus may include a set of electron-optical elements configured to direct a primary electron beam to a sample. The set of electron-optical elements may include an object...  
WO/2024/015258A1
A system includes a chamber comprising first and second regions. The chamber is configured to produce and contain a plasma in the second region. The system includes a transmission line positioned in the first region. The transmission lin...  
WO/2024/013145A1
Systems and methods of measuring of optimizing collection efficiency of secondary charged particles include a multi-beam inspection apparatus (104) configured to scan (226) a sample (230) and including a lens (242), a detector (244) conf...  
WO/2024/014611A1
A method for manufacturing an electrode assembly for a plasma cleaner, according to an embodiment of the present invention, may comprise: a first step of preparing a body part made of a non-conductive material; a second step of forming a...  
WO/2024/007413A1
The present invention provides a multifunctional multi-station sample stage for an in-situ detection chip of a scanning electron microscope, comprising a sample stage support and chip carriers. A plurality of mounting slots are formed on...  
WO/2024/007738A1
An electron source, a manufacturing method, a chip detection device, and a chip photolithography device. The electron source comprises: a substrate layer; an insulating dielectric layer arranged on the substrate layer; an emitting electr...  
WO/2024/010222A1
A non-contact plasma monitoring method and a non-contact plasma monitoring device using same are disclosed. The non-contact plasma monitoring method may comprise: a first step of arranging one or more RF sensors on the outside of an indu...  
WO/2024/009912A1
A multibeam image acquisition device according to an embodiment of the present invention is characterized by comprising: a stage on which a sample can be placed; a base which is disposed on the stage and in which at least the surface is ...  
WO/2024/010692A1
A multi-plenum gas manifold is disclosed and includes a monolithic body, a first plenum and a second plenum. The first plenum is arranged within the monolithic body and configured to distribute to or divert from one or more substrate pro...  
WO/2024/008329A1
A multi-beam charged particle system (1) is provided with reduced field curvature. The multi-beam charged particle system comprises a charged particle mirror element (700) for compensating a field curvature of charged particle imaging el...  
WO/2024/010295A1
The present invention relates to a gas spraying apparatus, a substrate processing apparatus, and a thin film deposition method, and more specifically, to a gas spraying apparatus, a substrate processing apparatus, and a thin film deposit...  
WO/2024/008493A1
The present disclosure relates to apparatus and methods for assessing samples using a plurality of charged particle beams. In one arrangement, at least a subset of a beam grid of a plurality of charged particle beams and respective targe...  
WO/2024/010101A1
The present invention relates to a component for a semiconductor manufacturing apparatus, and a heat-resistant material, and the component for a semiconductor manufacturing apparatus, according to the present invention, has a level diffe...  
WO/2024/009611A1
[Problem] To provide a multi-charged particle beam irradiation device in which a blanking aperture array mounting board can be appropriately replaced while suppressing the reduction in an operating rate, a multi-charged particle beam irr...  
WO/2024/009229A1
The invention relates to the technique of vacuum deposition of metal and ceramic coatings, in particular to a device for high-rate magnetron sputtering, and can be used in the manufacture of products with coatings of metals, glass, polym...  
WO/2024/007758A1
An electron source, a control method, a chip testing apparatus and a chip manufacturing apparatus. The electron source may comprise: a semiconductor layer; a first insulating medium layer provided on the semiconductor layer; an emitting ...  
WO/2024/010100A1
The present invention relates to a semiconductor manufacturing part including a boron carbide plasma-resistance member. An aspect of the present invention provides a semiconductor manufacturing part which includes boron carbide formed by...  
WO/2024/009913A1
A multi-electron beam image acquisition apparatus according to an embodiment of the present invention is characterized by comprising: a stage on which a substrate is placed; two-stage multiple first electrostatic deflectors that have mul...  
WO/2024/002219A1
Disclosed in the present application are a wafer bearing device and a semiconductor process apparatus. The wafer bearing device comprises a base, an edge protection ring assembly, a first measurement assembly and a driving assembly, wher...  
WO/2024/003987A1
This aberration correction device for correcting aberration of an optical system has a first multipole lens that generates a first hexapole field, a second multipole lens that generates a second hexapole field, a first deflector that gen...  
WO/2024/001822A1
The present invention relates to the technical field of semiconductors. Disclosed are a process chamber and a semiconductor process apparatus. The process chamber comprises a chamber body, wherein the chamber body is internally provided ...  
WO/2024/004718A1
This pattern matching method determines the pattern density of each of a plurality of inspection areas (A1 to A10) from design data, divides the pattern densities into a plurality of density groups (PG1 to PG3) in accordance with the num...  
WO/2024/006273A1
A method of manufacturing a dielectric barrier discharge (DBD) structure includes forming a patterned electrode layer around an outer perimeter of a substrate composed of a dielectric material. The patterned electrode layer includes mult...  
WO/2024/004444A1
A disclosed plasma processing device comprises a plasma processing chamber, a substrate support part, a ground frame, a power storage unit, a rectification and smoothing unit, a power supply output connector, and a power reception coil. ...  
WO/2024/006245A1
An imaging system for imaging a biological sample or another sample containing fluorescent molecules may include an optical system with a light source emitting light, wherein the light is directed by the optical system to the sample via ...  
WO/2024/002399A1
The present invention relates to a multi-mode low-voltage electron microscope operative in the accelerating voltage range of 3-50 kV and comprising in the following order based on the direction of a primary electron beam (12): an electro...  
WO/2024/002798A1
A method for controlling deflectors of a charged-particle inspection system is disclosed. The method comprises establishing a mapping relationship for each digital-to- analog converter (DAC) of a plurality of DACs included in a charged-p...  
WO/2024/005850A1
An edge ring system includes a moveable top ring and a cover ring configured to be arranged above and radially outward of the moveable top ring. The cover ring includes an annular body and a stepped portion extending radially inward from...  
WO/2024/006627A1
Embodiments relate to a plasma generator including a dielectric layer elongated in a longitudinal direction that extends,01 mm - 2mm in a thickness direction perpendicular to the longitudinal direction. The dielectric layer defines first...  
WO/2024/006229A1
A substrate includes a first outer surface, a second outer surface opposite the first outer surface, and a region having a volume extending from the first outer surface to the second outer surface. At least a portion of the volume of thi...  
WO/2024/006675A1
Systems and methods for calibrating radio frequency (RF) generators are described. One of the methods includes receiving a plurality of analog measurement signals from a plurality of RF sensors to output a plurality of digital signals. T...  
WO/2023/216958A9
Disclosed in the present application are a process chamber and a parallelism testing method. The process chamber comprises a chamber body and a chamber cover plate covering on the chamber body. A bearing device is provided with a bearing...  
WO/2024/006211A1
A silicon-based film is conformally deposited in a feature and controllably etched using remote plasma. The silicon-based film may be an amorphous silicon layer or a doped silicon layer comprising silicon oxide, silicon nitride, silicon ...  
WO/2024/006938A1
Provided are processes for development of photopatterned metal or metal oxide-based thin film photoresists post-EUV exposure for removal of non-volatile species and deterring etch stop. Repeated cycles of alternating treatment with an et...  
WO/2023/248129A1
Scanning transmission electron microscope, STEM, having a sample plane, the STEM comprising a primary electron beam source arranged to provide a primary electron beam to a sample located at the sample plane of the STEM. A STEM detector, ...  
WO/2023/248856A1
Provided is a GCIB device capable of changing the energy of ions to be emitted, without changing: the extraction electrode arrangement optimized at a specific voltage; the electrode arrangement of the GCIB device having a permanent-magne...  

Matches 251 - 300 out of 53,198