Login| Sign Up| Help| Contact|

Patent Searching and Data


Matches 701 - 750 out of 53,230

Document Document Title
WO/2023/119619A1
Electron irradiation from a cleaner that uses electrons dissociates hydrocarbon-based gas, which is a precursor to contamination, causing deposition of carbon on members inside a specimen chamber and on a specimen surface, thereby contam...  
WO/2023/117173A1
An electron, microscope (100) is described. The electron microscope comprises an electron source (110) for generating an electron beam, a condenser lens (130) for collimating the electron beam downstream of the electron source, and an ob...  
WO/2023/117238A1
A system and a method for measuring of parameter values of semiconductor objects within wafers with increased throughput is provided. The measuring method utilizes a modified machine learning algorithm to extract measurement results from...  
WO/2023/114457A1
Aspects of the present disclosure involve a power supply circuit for powering a plasma reactor and more specifically initiating and maintain a plasma therein, and that can operate with power from an intermittent power source. The power s...  
WO/2023/114410A1
Embodiments of substrate supports for use in substrate processing chambers are provided herein. In some embodiments, a substrate support for use in a substrate processing chamber includes: a pedestal having a first side configured to sup...  
WO/2023/114145A1
A gas delivery apparatus includes an inlet portion and an outlet portion. The inlet portion can comprise a plurality of inlet ports configured to receive gas from a gas source. The inlet portion can also comprise a corresponding pluralit...  
WO/2023/110331A1
A charged-particle optical apparatus configured to project a multi-beam of charged particles, the apparatus comprising: a charged particle device switchable between (i) an operational configuration in which the device is configured to pr...  
WO/2023/109480A1
Provided in the present invention are an inductive coupled coil, a radio frequency provision apparatus, a radio frequency control method and a plasma processing device. The inductive coupled coil comprises an inner coil, a middle coil, a...  
WO/2023/114028A1
Electrostatic chucks for use in substrate processing chambers are provided herein. In some embodiments, an electrostatic chuck for use in a substrate processing chamber includes: a dielectric plate having an electrode disposed therein, t...  
WO/2023/114166A1
An electron bombardment ion source assembly for use in a mass spectrometer and including an anode extending along an axis and surrounding an ionization volume. At least two filaments are each configured to thermionically emit electrons a...  
WO/2023/112742A1
This electron beam irradiation device comprises: an acceleration tube (13) formed by providing, side by side in an axial direction (L1), a plurality of acceleration electrodes for generating an electric field for accelerating an electron...  
WO/2023/114143A1
A radiofrequency (RF) power supply system includes a first coil and a second coil. The RF power supply system also includes a first RF power source connected to supply RF signals of a first frequency to both the first coil and the second...  
WO/2023/114082A1
An assembly for a processing chamber of a substrate processing system includes a first component, a second component, and a thermal interface material arranged between the first component and the second component. At least one of the fir...  
WO/2023/110284A1
Methods are disclosed for generating a sample map and processing a sample. In one arrangement, a method comprises measuring a position of a first mark in each of a plurality of field regions on sample. A first model is fitted to the meas...  
WO/2023/110862A1
An electron microscope (1) serves for examining a specimen (2). An electron optical unit (3) serves for passing an image of a specimen region of interest to a detection device (5). A removal device (6) serves for removing material from t...  
WO/2023/112131A1
This ion milling device has: an ion source (101) for emitting an ion beam; a sample stage (102) for holding a sample (105); a shielding plate (106) for blocking the ion beam and arranged so that an end surface (P2) thereof is aligned wit...  
WO/2023/114233A1
A method of etching a substrate that includes: generating a first plasma from a first gas flowing into a first chamber by applying a first power pulse to a first electrode located in the first chamber over a first time duration; and form...  
WO/2023/114088A1
A chamber component for a semiconductor processing chamber includes a body. The chamber component also includes a coating. The coating is a corrosion-resistant coating. The coating is deposited on a surface of the body. The corrosion-res...  
WO/2023/110444A1
The present invention provides a detector inspection device for interrogating at least part of a detector comprised in a charged particle-optical assessment apparatus, the detector inspection device comprising: a coupler configured to be...  
WO/2023/111650A1
The invention relates to the magnetron deposition of protective and functional coatings comprised of magnetic materials, and more particularly to the structure of a target made of a magnetic material. In the claimed target made of a magn...  
WO/2023/110316A1
A charged-particle apparatus generates a plurality of sub-beams from a source beam of charged particles and direct the sub-beams downbeam toward a sample position. The charged-particle apparatus comprises a charged particle source, an ap...  
WO/2023/113973A1
Embodiments disclosed herein include, a sensor for detecting radical ion flux. In an embodiment, the sensor comprises a first resistor, where the first resistor comprises a length of wire of a first catalytic composition. In an embodimen...  
WO/2023/110244A1
A charged particle assessment apparatus comprising: a charged particle beam device; an actuated sample stage; a hot component and a thermal compensator. The actuated sample stage is configured to hold a sample. The hot component is confi...  
WO/2023/110907A1
A method of image template matching with an adaptive weight map is described. An image template is provided with a weight map, which is adaptively updated based during template matching based on the position of the image template on the ...  
WO/2023/114022A1
A semiconductor processing system may include a semiconductor processing chamber configured to execute a recipe on a semiconductor wafer. The system may include a first plasma source to provide plasma to the semiconductor processing cham...  
WO/2023/113995A1
An IHC ion source having increased plasma potential is disclosed. In certain embodiments, the extraction plate is biased at a higher voltage than the body of the arc chamber to achieve the higher plasma potential. Shielding electrodes ma...  
WO/2023/113180A1
The present invention relates to an apparatus and method for activating a chemical reaction using a plasma expanded by a double high frequency, wherein the bulk of a plasma can be expanded using a double high frequency, and a chemical re...  
WO/2023/110105A1
A cathode assembly (110) for sputter deposition is provided. The cathode assembly includes a first cathode drive unit (113) configured to rotate a first rotatable cathode (111), a second cathode drive unit (114) adjacent to the first cat...  
WO/2023/107263A1
A system and method for optimizing a ribbon ion beam in a beam line implantation system is disclosed. The system includes a calibration sensor disposed in the beam line after the mass analyzer. The calibration sensor is able to measure b...  
WO/2023/107314A1
Methods and systems for multi-level RF pulse monitoring and RF pulsing parameter optimization at a manufacturing system are provided. A radio frequency (RF) signal is pulsed within a processing chamber in accordance with a set of RF puls...  
WO/2023/105632A1
This multiple lens comprises an air-core cylindrical non-magnetic material bobbin provided with a plurality of slits, and a metal conductor. The plurality of slits are disposed such that the central angle between adjacent slits is (360/1...  
WO/2023/107463A1
A device for supplying a coolant to a substrate processing chamber includes a block including a plurality of surfaces and a plurality of passages defined within the block. The device includes an input port located on a first surface to r...  
WO/2023/107228A1
In an embodiment, a plasma processing tool with an extendable probe is described. In an embodiment, the plasma processing tool comprises a chamber, and a pedestal for supporting a substrate. In an embodiment, an edge ring is around a per...  
WO/2023/108039A1
Presented are systems, methods, and devices for provisioning sample support, controlled liquid deposition, and/or desired sample thicknesses during cryo-electron microscopy processes. A sample support for a cryo-electron microscopy proce...  
WO/2023/102776A1
The present invention relates to the technical field of system software, and in particular relate to an MPCVD control system. The control system comprises a main control system and a plurality of slave systems, wherein the main control s...  
WO/2023/107347A1
A method includes depositing a first layer of a first material onto a surface of a chamber component of a processing chamber. The first material comprises a polymer, the polymer having a dielectric strength of at least 40 MV/m. The metho...  
WO/2023/107264A1
A system comprising a spinning disk is disclosed. The system comprises a semiconductor processing system, such as a high energy implantation system. The semiconductor processing system produces a spot ion beam, which is directed to a plu...  
WO/2023/107203A1
A system and method for controlling electrostatic clamping of multiple platens on a spinning disk is disclosed. The system comprises a semiconductor processing system, such as a high energy implantation system. The semiconductor processi...  
WO/2023/107260A1
Embodiments disclosed herein may further comprise a semiconductor processing tool. In an embodiment, the tool comprises a chamber with a chuck within the chamber. In an embodiment, the chuck is an electrostatic chuck. The tool may furthe...  
WO/2023/107205A1
Embodiments of the present disclosure generally relate to a system used in a semiconductor device manufacturing process. More specifically, embodiments provided herein generally include apparatus and methods for synchronizing and control...  
WO/2023/099757A1
The process according to the invention is a process for depositing a coating on a substrate by means of physical vapor deposition methods, comprising a step of depositing said coating on said substrate by the simultaneous use of high-pow...  
WO/2023/099642A1
The invention provides a method for regioselective functionalizing a surface of an electron microscopy grid (10), therefore: a) providing a surface (20) of an electron microscopy grid (101); b) providing a plurality of wall systems (30A,...  
WO/2023/102435A1
Semiconductor devices and methods of forming semiconductor devices are described. A method of forming metal silicon nitride films is disclosed. Some embodiments of the disclosure provide a process using ammonia plasma for treating a meta...  
WO/2023/099290A1
The present invention relates to an apparatus and a method for imaging and/or analysing and/or processing a sample by means of electrically charged particles, for example using a transmission or scanning electron microscope. The aim of t...  
WO/2023/101709A1
A wide-coverage edge ring configured to be arranged above a bottom ring in a substrate processing chamber includes an upper surface, a lower surface that includes a lower surface step that extends downward from the lower surface and is c...  
WO/2023/099125A1
The disclosure relates to a distribution body (1) for distributing a process gas (7) relative to a substrate (9) to treat the substrate (9) by means of the process gas (7), comprising a distribution plate (2), at least one gas inlet chan...  
WO/2023/099104A1
An improved method and system for correcting inspection image error are disclosed. An improved method comprises acquiring a set of first beam positions on a test wafer while a wafer stage supporting the test wafer moves at a first veloci...  
WO/2023/102545A2
One or more examples relate, generally, to an apparatus. The apparatus includes a charged particle source and a charged particle pointer. The charged particle pointer urges charged particles emitted by the charged particle source in a pr...  
WO/2023/099584A1
Method for automatic focusing and astigmatism correction for a specific microscope setup, in particular an electron microscope, the microscope being at least adjustable in microscope parameters a working distance, a stigmator in a x-dire...  
WO/2023/101127A1
The present invention relates to a method for reproducing a component for semiconductor manufacturing and a reproduced component. The method according to an embodiment of the present invention comprises: a graphite substrate preparation ...  

Matches 701 - 750 out of 53,230