Login| Sign Up| Help| Contact|

Patent Searching and Data


Matches 401 - 450 out of 1,614

Document Document Title
WO/2002/089193A1
The invention relates to a method of manufacturing a semiconductor device comprising the step of removing a silicon and nitrogen containing material by means of wet etching with an aqueous solution comprising hydrofluoric acid in a low c...  
WO/2002/086192A1
Methods of using reactive gases containing a perfluoroketone having 4 to 7 carbon atoms for removing unwanted deposits that build up in a vapor reactor, for etching dielectric and metallic materials in a vapor reactor, and for doping a m...  
WO/2002/077120A1
A semiconductor wafer cleaning formulation, including 1-21% wt. fluoride source, 20-55% wt. organic amine(s), 0.5-40% wt. nitrogenous component, e.g., a nitrogen-containing carboxylic acid or an imine, 23-50% wt. water, and 0-21% wt. met...  
WO/2002/071447A2
A method of removing ruthenium silicide from a substrate surface which comprises exposing the ruthenium silicide surface to a solution containing chlorine and fluorine containing chemicals. In particular, said solution is designed to rea...  
WO/2002/059230A2
The invention relates to azeotropic and azeotrope-like mixtures of 1,2,2-trichloro-1,3,3,3-tetrafluoropropane (HCFC-224aa) and hydrogen fluoride, which are useful as intermediates in the production of HCFC-224AA.  
WO/2002/059393A1
Chemical mechanical planarization or spin etch planarization of surfaces of copper, tantalum and tantalum nitride is accomplished by means of the chemical formulations of the present invention. The chemical formulations may optionally in...  
WO/2002/046280A1
An etchant suitable for etching a thermoplastic polyimide resin. The etchant comprises a C¿4? or lower aliphatic amino alcohol containing an amino or imino group and a hydroxyl group in the molecule and an aqueous solution of a tetraalk...  
WO/2002/033023A1
The present invention provides a chemical-mechanical polishing slurry for use in removing copper overlaying a tantalum-based barrier layer during the fabrication of a copper damascene structure, and a method of retarding the corrosion of...  
WO/2002/031072A1
The present invention relates to a CMP (chemical mechanical polishing) slurry composition and a method for planarizing a semiconductor device. The CMP composition comprises fumed silica, tetramethyl ammonium hydroxide, phosphates, fluori...  
WO/2002/025713A1
This invention relates to gas compositions comprising fluorine-containing nitrogen compounds, which compositions are useful for cleaning the interior of reactors, such as those of CVD (chemical vapor deposition) equipment and also for et...  
WO/2002/018099A2
A method for substantially simultaneously polishing a copper conductive structure of a semiconductor device structure and an adjacent barrier layer. The method includes use of a fixed-abrasive type polishing pad with a substantially abra...  
WO/2002/010480A2
A process for providing an aqueous back-end-of-line (BEOL) clean with feed-back control to monitor the active component of HF in the clean, for a wiring/interconnect of a reactive ion etched semiconductor device, comprising: subjecting t...  
WO/2002/007205A2
A process for providing an aqueous back-end-of-line (BEOL) clean with feed-back control to monitor the active component of HF in the clean, for a wiring/interconnect of a reactive ion etched semiconductor device, comprising: subjecting t...  
WO/2002/006423A1
A flexible circuit comprising a liquid crystal polymer film having through-holes and related shaped voids formed therein using an etchant composition comprising a solution in water of from 35 wt. % to 55 wt. % of an alkali metal salt; an...  
WO/2002/004573A2
In accordance with the invention, there is provided a chemical-mechanical polishing slurry for polishing a substrate. The slurry is comprised primarily of abrasive particles and an oxidizing agent, wherein the slurry exhibits a stability...  
WO/2002/004233A1
A composition for the stripping of photoresist and the cleaning of residues from substrates, and for silicon oxide etch, comprising from about 0.01 percent by weight to about 10 percent by weight of one or more fluoride compounds, from a...  
WO/2002/002706A1
Chemical mechanical polishing compositions and slurries comprising a film forming agent and at least one silane compound wherein the compositions are useful for polishing substrate features such as copper, tantalum, and tantalum nitride ...  
WO/2002/003432A2
The present invention relates to an aqueous etching solution, a method for tailoring the composition of the solution to provide a desired surface quality for a given quantity of stock to be removed, and a process for etching a silicon wa...  
WO/2001/090014A1
The invention relates to mixtures, which contain a polyfluorinated hydrocarbon, hydrogen fluoride and preferably at least one protic solvent, such as water, alcohols or acetone and which are suitable for use as etching reagents in the el...  
WO/2001/088998A2
Substantially transparent electrodes are formed on a substrate by a process including forming on the substrate, in order, a bottom high index layer, a metallic conductive layer, and a top high index layer with a conductivity of at least ...  
WO/2001/083844A2
The invention is directed to a photoresist-free method for depositing films composed of metals, such as copper, or its oxides from metal complexes. More specifically, the method involves applying an amorphous film of a metal complex to a...  
WO/2001/083391A1
The invention relates to novel etching media in the form of printable, homogenous, particle-free etching pastes with non-Newtonian flow properties for the etching of inorganic surfaces, in particular, of glasses, preferably on silicon ox...  
WO/2001/075955A1
Homogeneous compositions containing a fluorinated solvent, hydrogen fluoride, and a co-solvent, and the use of these compositions for cleaning and etching of substrated are described.  
WO/2001/057921A1
An etching solution of Hydrogen Fluoride (HF), carboxylic acid and water having a high etch selectivity for silicon oxide relative to metal, polysilicon and nitride. The etching solution is created by injecting anhydrous HF into a carbox...  
WO/2001/049805A1
The invention is directed to a method and composition for providing roughened copper surfaces suitable for subsequent multilayer lamination. The adhesion promoting composition consisting essentially of an oxidizer, a pH adjuster, a topog...  
WO/2001/047032A1
The present invention relates to a novel method for producing structured surfaces on multicrystalline, tricrystalline and monocrystalline silicon surfaces of solar cells or on silicon substrates which are used for photovoltaic purposes. ...  
WO/2001/036578A1
A non-corrosive cleaning composition for removing residues from a substrate. The composition comprises: (a) water; (b) at least one hydroxylammonium compound; (c) at least one basic compound, preferably selected from the group consisting...  
WO/2001/024234A2
A method is provided for cleaning a surface of a semiconductor wafer after a CMP operation. In one example, an improved cleaning chemical (ICC) is applied to the surface of the wafer. The ICC is configured to transform a copper film on t...  
WO/2001/014463A1
An etchant composition for polyimide resins which comprises the following ingredients (A), (B), and (C): (A) 5 to 67 wt.% quaternary ammonium hydroxide; (B) 3 to 85 wt.% alcohol solvent; and (C) 10 to 70 wt.% water. When used for etching...  
WO/2001/011673A2
The invention relates to a method for etching oxide films containing at least one bismuth oxide, especially a mixed ferroelectric oxide containing bismuth. The inventive method comprises the following steps: a) providing a substrate to w...  
WO/2001/009935A1
The invention relates to etching solutions, containing hydrofluoric acid and organic solvents, for use in the production of integrated circuits. The inventive etching solutions are particularly suitable for selectively etching layers of ...  
WO/2000/079617A1
A method for forming an electronic device having a semiconducting active layer comprising a polymer, the method comprising aligning the chains of the polymer parallel to each other by bringing the polymer into a liquid-crystalline phase.  
WO/2000/058208A2
The invention relates to a method for producing a high-purity solution that contains hydrogen fluoride or a salt thereof or a mixture of two or more thereof. The inventive method comprises the following step (i): hydrogen fluoride is pas...  
WO/2000/039844A1
A polishing liquid comprising an oxidizing agent, an etching agent for an oxidized metal, an agent capable of forming a protection film, an agent for assisting the dissolution of said agent capable of forming a protection film, a method ...  
WO/2000/039843A1
A CMP abrasive comprising a cerium oxide slurry containing cerium oxide particles, a dispersant and water, and a liquid additive containing a dispersant and water; and a liquid additive for the CMP abrasive. A method for polishing a subs...  
WO/2000/031786A1
An etching solution which exhibits etching rates for both of a thermally oxidized film (THOX) and a boron-phosphorus-glass film (BPSG) of 100Å/min or less at 25 °C, and an etching rate ratio : etching rate for BPSG / etching rate for a...  
WO/2000/031785A1
An etching solution which contains hydrogen fluoride (HF) and exhibits an etching rate ratio: etching rate for a boron-glass film (BSG) or boron-phosphorus-glass film (BPSG)/etching rate for a thermally oxidized film (THOX) of 10 or more...  
WO/2000/029646A1
A process for the metallization of a plastic surface, whereby the following process steps are performed in sequence, one after another. The plastic surface is subjected to an etching treatment under mild etching conditions. Subsequently,...  
WO/2000/028586A2
The invention comprises copper chemical-mechanical polishing processes using fixed abrasive polishing pads, and copper layer chemical-mechanical polishing solutions specifically adapted for chemical-mechanical polishing with fixed abrasi...  
WO/2000/028585A1
The invention comprises tungsten chemical-mechanical polishing processes using fixed abrasive polishing pads, and to tungsten layer chemical-mechanical polishing solutions specifically adapted for chemical-mechanical polishing with fixed...  
WO/2000/024842A1
This invention relates to a CMP slurry system for use in semiconductor manufacturing. The slurry system comprises two parts. The first part is a generic dispersion that only contains an abrasive and, optionally, a surfactant and a stabil...  
WO/2000/017281A1
The invention relates to a polishing liquid for polishing components, preferably wafers, especially for chemically-mechanically polishing components of this type, and to a method for producing the polishing liquid. The inventive polishin...  
WO/2000/011107A1
An etchant composition for etching an ITO layer deposited on various electronic parts is disclosed. The etchant composition includes oxalic acid and its salts or aluminum chloride as its main components. For etching parameters which vary...  
WO/2000/002238A1
A new cleaning chemistry based on a choline compound, such as choline hydroxide, is provided in order to address the problem of dual damascene fabrication. An etch stop inorganic layer at the bottom of a dual damascene structure protects...  
WO/1999/046353A1
A cleaning solution, method, and apparatus for cleaning semiconductor substrates after chemical mechanical polishing of copper films is described. The present invention includes a cleaning solution which combines deionized water, an orga...  
WO/1999/040235A1
Method for etching metal oxide films, especially tin oxide on a substrate in which a metal (Zn) is deposited on said film and etching is performed by a mixture of an acid, such as hydrochloric acid (HC1) and a metal dissolution agent, su...  
WO/1999/033094A1
The formulations of the present invention etch doped silicon oxide compounds, such as BPSG and PSG layers, at rates greater than or equal to the etch rate of undoped silicon oxide such as thermal oxide. The formulations have the general ...  
WO/1999/015287A1
The present invention is directed to a process for treating a copper-base alloy, comprising the steps of (1) providing a copper-base alloy; (2) brightening the copper-base alloy to form a brightened alloy having a reflective surface; and...  
WO/1999/007010A1
A detergent containing, as the active ingredient, a polyphosphoric acid-urea condensate as a product of reaction of orthophosphoric acid with urea, or a phosphoric acid-urea polymer, and used for cleaning the surfaces of metals and/or gl...  
WO/1999/005706A1
A chemical mechanical polishing composition and slurry comprising a composition capable of etching tungsten and at least one inhibitor of tungsten etching and methods for using the composition and slurry to polish tungsten containing sub...  

Matches 401 - 450 out of 1,614