Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
THREE-DIMENSIONAL MEMORY ARRAY WITH DUAL-LEVEL PERIPHERAL CIRCUITS AND METHODS FOR FORMING THE SAME
Document Type and Number:
WIPO Patent Application WO/2022/265680
Kind Code:
A1
Abstract:
A bonded assembly includes a memory die that is bonded to a logic die. The memory die includes a three-dimensional memory array located on a memory-side substrate, memory-side dielectric material layers located on the three-dimensional memory array and embedding memory-side metal interconnect structures and memory-side bonding pads, a backside peripheral circuit located on a backside surface of the memory-side substrate, and backside dielectric material layers located on a backside of the memory-side substrate and embedding backside metal interconnect structures. The logic die includes a logic-side peripheral circuit located on a logic-side substrate, and logic-side dielectric material layers located between the logic-side substrate and the memory die and embedding logic-side metal interconnect structures and logic-side bonding pads that are bonded to a respective one of the memory-side bonding pads.

Inventors:
MIZUTANI YUKI (US)
TOYAMA FUMIAKI (US)
HIGASHITANI MASAAKI (US)
Application Number:
PCT/US2022/011399
Publication Date:
December 22, 2022
Filing Date:
January 06, 2022
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
SANDISK TECHNOLOGIES LLC (US)
International Classes:
H01L27/11526; H01L23/00
Foreign References:
US20200321324A12020-10-08
US20190043836A12019-02-07
US20210159110A12021-05-27
Attorney, Agent or Firm:
RADOMSKY, Leon et al. (US)
Download PDF:
Claims:
WHAT IS CLAIMED IS:

1. A bonded assembly comprising a memory die that is bonded to a logic die, wherein: the memory die comprises: a three-dimensional memory array located on a memory-side substrate; memory-side dielectric material layers located on a first side of a combination of three-dimensional memory array and the memory-side substrate; memory-side metal interconnect structures and memory-side bonding pads embedded in the memory side dielectric material layers; a backside peripheral circuit comprising a first subset of logic devices configured to control operation of the three-dimensional memory array and located on a backside surface of the memory-side substrate; backside dielectric material layers located on a second side of the combination of three-dimensional memory array and the memory-side substrate that is an opposite side of the first side; and backside metal interconnect structures that provide electrical connection between the three-dimensional memory array and the backside peripheral circuit embedded in the backside dielectric material layers; and the logic die comprises: a logic-side peripheral circuit comprising a second subset of the logic devices configured to control operation of the three-dimensional memory array and located on a logic-side substrate; logic-side dielectric material layers located between the logic-side substrate and the memory die; and logic-side metal interconnect structures and logic-side bonding pads that are bonded to a respective one of the memory-side bonding pads embedded in the logic-side dielectric material layers.

2. The bonded assembly of Claim 1, wherein the three-dimensional memory array comprises an alternating stack of insulating layers and electrically conductive layers, memory openings vertically extending through the alternating stack, and memory opening fill structures located within the memory openings and comprising a respective vertical stack of memory elements.

3. The bonded assembly of Claim 2, wherein: the alternating stack further comprises a staircase region in which the electrically conductive layers having variable lateral extents that decrease with a vertical distance from a horizontal plane including bonding surfaces of the memory-side bonding pads; and each of the memory opening fill structures further comprises a vertical semiconductor channel.

4. The bonded assembly of Claim 3, further comprising layer contact via structures that contact horizontal surfaces of a respective one of the electrically conductive layers within the staircase region, vertically extend from the respective one of the electrically conductive layers along a vertical direction away from a bonding interface between the memory die and the logic die, and are electrically connected to a respective one of the backside metal interconnect structures.

5. The bonded assembly of Claim 4, wherein: the first subset of logic devices comprises word line drivers configured to apply control voltages to the electrically conductive layers; and the electrically conductive layers comprise word lines of the three-dimensional memory array.

6. The bonded assembly of Claim 4, wherein: the memory-side metal interconnect structures comprise bit lines of the three- dimensional memory array; the second subset of logic devices in the logic die comprises bit line drivers configured to drive the bit lines; and the memory-side metal interconnect structures, the logic-side metal interconnect structures, the memory-side bonding pads, and the logic-side bonding pads comprise electrically conductive paths that provide electrical connection between the bit lines and the bit line drivers.

7. The bonded assembly of Claim 2, wherein: the memory-side substrate comprises a single crystalline semiconductor material; the first subset of logic devices within the backside peripheral circuit comprises first field effect transistors including source regions and drain regions that are doped portions of the single crystalline semiconductor material; and gate electrodes of the first field effect transistors are more distal from the logic die than the source regions and the drain regions of the first field effect transistors are from the logic die.

8. The bonded assembly of Claim 7, wherein the memory-side substrate comprises: an insulating layer in contact with the single crystalline semiconductor material; and a doped semiconductor material layer in contact with the memory opening fill structures.

9. The bonded assembly of Claim 8, wherein: each of the memory opening fill structures further comprises a respective vertical semiconductor channel located in proximity to the respective vertical stack of memory elements and having a doping of a first conductivity type; and the doped semiconductor material layer is in contact with each of the vertical semiconductor channels.

10. The bonded assembly of Claim 8, wherein the doped semiconductor material layer comprises a single crystalline semiconductor material layer.

11. The bonded assembly of Claim 10, wherein each of the memory opening fill structures comprises a respective pedestal channel portion comprising a single crystalline semiconductor material portion having a single crystalline lattice structure in epitaxial alignment with a single crystalline semiconductor material of the doped semiconductor material layer.

12. The bonded assembly of Claim 1, further comprising backside trenches laterally extending along a horizontal direction and vertically extending through the alternating stack and extending to the memory-side substrate.

13. The bonded assembly of Claim 12, further comprising backside trench fill structures located within the backside trenches and comprising dielectric surfaces that contact sidewalls of the alternating stack and the memory-side substrate.

14. A method of forming a semiconductor structure, comprising: forming a three-dimensional memory array over a memory-side substrate; forming memory-side dielectric material layers embedding memory-side metal interconnect structures and memory-side bonding pads over the three-dimensional memory array to form a memory die; providing a logic die that includes a logic-side peripheral circuit comprising a first subset of logic devices configured to control operation of the three-dimensional memory array and located on a logic-side substrate, and logic-side dielectric material layers embedding logic-side metal interconnect structures and logic-side bonding pads; bonding the logic-side bonding pads with the memory-side bonding pads; forming a backside peripheral circuit comprising a second subset of the logic devices configured to control operation of the three-dimensional memory array on a backside surface of the memory-side substrate; and forming backside dielectric material layers embedding backside metal interconnect structures over the backside peripheral circuit, wherein the backside metal interconnect structures provide electrically conductive paths between the backside peripheral circuit and electrical nodes of the three-dimensional memory array.

15. The method of Claim 14, wherein forming the three-dimensional memory array comprises: forming an alternating stack of insulating layers and spacer material layers over the memory-side substrate, wherein the spacer material layers are formed as, or are subsequently replaced with, electrically conductive layers; forming memory openings vertically extending through the alternating stack; and forming memory opening fill structures in the memory openings, wherein each of the memory opening fill structures comprises a respective vertical stack of memory elements and a vertical semiconductor channel.

16. The method of Claim 15, further comprising: patterning the memory-side substrate after bonding the logic-side bonding pads to the memory-side bonding pads, whereby a portion of a backside surface of the alternating stack is physically exposed; and forming stepped surfaces by patterning the alternating stack within an area from which the memory-side substrate is removed.

17. The method of Claim 16, further comprising: forming a retro-stepped dielectric material portion over the stepped surfaces; and forming layer contact via structures through the retro-stepped dielectric material portion, wherein the electrically conductive layers are electrically connected to the backside peripheral circuit via the layer contact via structures and the backside metal interconnect structures.

18. The method of Claim 15, wherein: the second subset of logic devices comprises word line drivers configured to apply control voltages to the electrically conductive layers; and the electrically conductive layers comprise word lines of the three-dimensional memory array.

19. The method of Claim 15, wherein: the memory-side metal interconnect structures comprise bit lines of the three- dimensional memory array; the first subset of logic devices in the logic die comprises bit line drivers configured to drive the bit lines; and the memory-side metal interconnect structures, the logic-side metal interconnect structures, the memory-side bonding pads, and the logic-side bonding pads comprise electrically conductive paths that provide electrical connected between the bit lines and the bit line drivers.

20. The method of Claim 15, wherein: the spacer material layers are formed as the sacrificial material layers; and the method further comprises: forming backside trenches through the memory-side substrate and the alternating stack after bonding the logic-side bonding pads with the memory-side bonding pads; forming backside recesses by removing the sacrificial material layers selective to the insulating layers by providing an isotropic etchant into the backside trenches; forming the electrically conductive layers in the backside recesses by providing a metal-containing reactant into the backside recesses through the backside trenches; and forming backside trench fill structures in the backside trenches, wherein dielectric surfaces of the backside trench fill structures contact sidewalls of the electrically conductive layers, sidewalls of the insulating layers, and the memory-side substrate.

Description:
THREE-DIMEN SIONAL MEMORY ARRAY WITH DUAL-LEVEL PERIPHERAL CIRCUITS AND METHODS FOR FORMING THE SAME

RELATED APPLICATIONS

[0001] This application claims the benefit of priority from U.S. Non-Provisional Application No. 17/347,810, filed June 15, 2021; the entire contents of which is herein incorporated by reference.

FIELD

[0002] The present disclosure relates generally to the field of semiconductor devices, and particularly to a three-dimensional memory device comprising a backside peripheral circuit and a bonded peripheral circuit and methods for forming the same.

BACKGROUND

[0003] Three-dimensional vertical NAND strings having one bit per cell are disclosed in an article by T. Endoh et al., titled “Novel Ultra High Density Memory With A Stacked- Surrounding Gate Transistor (S-SGT) Structured Cell”, IEDM Proc. (2001) 33-36.

SUMMARY

[0004] According to an aspect of the present disclosure, a bonded assembly comprising a memory die that is bonded to a logic die is provided. The memory die comprises: a three- dimensional memory array located on a memory-side substrate; memory-side dielectric material layers located on a first side of a combination of three-dimensional memory array and the memory-side substrate; memory-side metal interconnect structures and memory-side bonding pads embedded in the memory side dielectric material layers; a backside peripheral circuit comprising a first subset of logic devices configured to control operation of the three- dimensional memory array and located on a backside surface of the memory-side substrate; and backside dielectric material layers located on a second side of the combination of three- dimensional memory array and the memory-side substrate that is an opposite side of the first side; and backside metal interconnect structures that provide electrical connection between the three-dimensional memory array and the backside peripheral circuit embedded in the backside dielectric material layers. The logic die comprises: a logic-side peripheral circuit comprising a second subset of the logic devices configured to control operation of the three- dimensional memory array and located on a logic-side substrate; logic-side dielectric material layers located between the logic-side substrate and the memory die; and logic-side metal interconnect structures and logic-side bonding pads that are bonded to a respective one of the memory-side bonding pads embedded in the logic-side dielectric material layers.

[0005] According to another aspect of the present disclosure, a method of forming a semiconductor structure is provided, which comprises: forming a three-dimensional memory array over a memory-side substrate; forming memory-side dielectric material layers embedding memory-side metal interconnect structures and memory-side bonding pads over the three-dimensional memory array to form a memory die; providing a logic die that includes a logic-side peripheral circuit comprising a first subset of logic devices configured to control operation of the three-dimensional memory array and located on a logic-side substrate, and logic-side dielectric material layers embedding logic-side metal interconnect structures and logic-side bonding pads; bonding the logic-side bonding pads with the memory-side bonding pads; forming a backside peripheral circuit comprising a second subset of the logic devices configured to control operation of the three-dimensional memory array on a backside surface of the memory-side substrate; and forming backside dielectric material layers embedding backside metal interconnect structures over the backside peripheral circuit, wherein the backside metal interconnect structures provide electrically conductive paths between the backside peripheral circuit and electrical nodes of the three-dimensional memory array.

BRIEF DESCRIPTION OF THE DRAWINGS [0006] FIG. l is a schematic vertical cross-sectional view of a first exemplary structure after formation of an alternating stack of insulating layers and sacrificial material layers according to an embodiment of the present disclosure.

[0007] FIG. 2A is a schematic vertical cross-sectional view of the first exemplary structure after formation of memory openings and support openings according to an embodiment of the present disclosure.

[0008] FIG. 2B is a top-down view of the first exemplary structure of FIG. 2A. The vertical plane A - A’ is the plane of the cross-section for FIG. 2A.

[0009] FIGS. 3A - 3H are sequential schematic vertical cross-sectional views of a memory opening within the first exemplary structure during formation of a memory stack structure, an optional dielectric core, and a drain region therein according to an embodiment of the present disclosure.

[0010] FIG. 31 is a vertical cross-sectional view of an alternative embodiment of a memory opening fill structure according to an embodiment of the present disclosure.

[0011] FIG. 4 is a schematic vertical cross-sectional view of the first exemplary structure after formation of memory stack structures and support pillar structures according to an embodiment of the present disclosure.

[0012] FIG. 5A is a schematic vertical cross-sectional view of the first exemplary structure after formation of backside trenches according to an embodiment of the present disclosure.

[0013] FIG. 5B is a partial see-through top-down view of the first exemplary structure of FIG. 5A. The vertical plane A - A’ is the plane of the schematic vertical cross-sectional view of FIG. 5 A.

[0014] FIG. 6 is a schematic vertical cross-sectional view of the first exemplary structure after formation of backside recesses according to an embodiment of the present disclosure. [0015] FIG. 7 is a schematic vertical cross-sectional view of the first exemplary structure after formation of electrically conductive layers according to an embodiment of the present disclosure.

[0016] FIG. 8 is a schematic vertical cross-sectional view of the first exemplary structure after formation of an insulating spacer and a backside contact structure according to an embodiment of the present disclosure.

[0017] FIG. 9 is a schematic vertical cross-sectional view of the first exemplary structure after formation of a memory die according to an embodiment of the present disclosure.

[0018] FIG. 10 is a schematic vertical cross-sectional view of a logic die according to an embodiment of the present disclosure.

[0019] FIG. 11 is a schematic vertical cross-sectional view of the first exemplary structure after bonding the logic die with the memory die according to an embodiment of the present disclosure.

[0020] FIG. 12 is a schematic vertical cross-sectional view of the first exemplary structure after patterning a memory-side substrate and an alternating stack of insulating layers and electrically conductive layers according to an embodiment of the present disclosure. [0021] FIG. 13 is a schematic vertical cross-sectional view of the first exemplary structure after formation of a backside peripheral circuit and backside metal interconnect structures according to an embodiment of the present disclosure.

[0022] FIG. 14 is a schematic vertical cross-sectional view of the first exemplary structure after forming at least one backside metal structure according to an embodiment of the present disclosure.

[0023] FIG. 15A is a vertical cross-sectional view of a second exemplary structure including a memory die according to an embodiment of the present disclosure.

[0024] FIG. 15B is another vertical cross-sectional view of the second exemplary structure of FIG. 15 A.

[0025] FIG. 16 is a schematic vertical cross-sectional view of the second exemplary structure after bonding the logic die with the memory die according to an embodiment of the present disclosure.

[0026] FIG. 17 is a schematic vertical cross-sectional view of the second exemplary structure after patterning a memory-side substrate and an alternating stack of insulating layers and electrically conductive layers and after formation of a retro-stepped dielectric material potion according to an embodiment of the present disclosure.

[0027] FIGS. 18A - 18D are schematic sequential vertical cross-sectional views of the second exemplary structure during replacement of sacrificial material layers with electrically conductive layers according to an embodiment of the present disclosure.

[0028] FIG. 19 is a schematic sequential vertical cross-sectional view of the second exemplary structure after replacement of sacrificial material layers with electrically conductive layers according to an embodiment of the present disclosure.

[0029] FIG. 20 is a schematic vertical cross-sectional view of the second exemplary structure after formation of a backside peripheral circuit and backside metal interconnect structures according to an embodiment of the present disclosure.

DETAILED DESCRIPTION

[0030] As discussed above, the present disclosure is directed to a three-dimensional memory device comprising a backside peripheral circuit and a bonded peripheral circuit and methods for forming the same, the various aspects of which are described below. The embodiments of the disclosure can be employed to form various structures including a multilevel memory structure, non-limiting examples of which include semiconductor devices such as three-dimensional memory array devices comprising a plurality of NAND memory strings.

[0031] The drawings are not drawn to scale. Multiple instances of an element may be duplicated where a single instance of the element is illustrated, unless absence of duplication of elements is expressly described or clearly indicated otherwise. Ordinals such as “first,” “second,” and “third” are employed merely to identify similar elements, and different ordinals may be employed across the specification and the claims of the instant disclosure. The term “at least one” element refers to all possibilities including the possibility of a single element and the possibility of multiple elements.

[0032] The same reference numerals refer to the same element or similar element. Unless otherwise indicated, elements having the same reference numerals are presumed to have the same composition and the same function. Unless otherwise indicated, a “contact” between elements refers to a direct contact between elements that provides an edge or a surface shared by the elements. If two or more elements are not in direct contact with each other or among one another, the two elements are “disjoined from” each other or “disjoined among” one another. As used herein, a first element located “on” a second element can be located on the exterior side of a surface of the second element or on the interior side of the second element. As used herein, a first element is located “directly on” a second element if there exist a physical contact between a surface of the first element and a surface of the second element.

As used herein, a first element is “electrically connected to” a second element if there exists a conductive path consisting of at least one conductive material between the first element and the second element. As used herein, a “prototype” structure or an “in-process” structure refers to a transient structure that is subsequently modified in the shape or composition of at least one component therein.

[0033] As used herein, a “layer” refers to a material portion including a region having a thickness. A layer may extend over the entirety of an underlying or overlying structure, or may have an extent less than the extent of an underlying or overlying structure. Further, a layer may be a region of a homogeneous or inhomogeneous continuous structure that has a thickness less than the thickness of the continuous structure. For example, a layer may be located between any pair of horizontal planes between, or at, a top surface and a bottom surface of the continuous structure. A layer may extend horizontally, vertically, and/or along a tapered surface. A substrate may be a layer, may include one or more layers therein, or may have one or more layer thereupon, thereabove, and/or therebelow.

[0034] Generally, a semiconductor die, or a semiconductor package, can include a memory chip. Each semiconductor package contains one or more dies (for example one, two, or four). The die is the smallest unit that can independently execute commands or report status. Each die contains one or more planes (typically one or two). Identical, concurrent operations can take place on each plane, although with some restrictions. Each plane contains a number of blocks, which are the smallest unit that can be erased by in a single erase operation. Each block contains a number of pages, which are the smallest unit that can be programmed, i.e., a smallest unit on which a read operation can be performed.

[0035] Referring to FIG. 1, a first exemplary structure according to an embodiment of the present disclosure is illustrated, which comprises a substrate for forming a memory die. The substrate is herein referred to as a memory-side substrate (9, 6, 10). The memory-side substrate may comprise a semiconductor material layer 9 (which is also referred to as a substrate semiconductor layer) including a single crystalline semiconductor material (such as single crystalline silicon), an insulating layer 6, such as a silicon oxide layer, located on a top surface of the semiconductor material layer 9, and a doped semiconductor material layer 10 located on a top surface of the insulating layer 6. In one embodiment, the memory-side substrate (9, 6, 10) may comprise a commercially available silicon-on-insulator (SOI) substrate. In this case, the semiconductor material layer 9 may have a thickness in a range from 60 microns to 1 mm, the insulating layer 6 may have a thickness in a range from 50 nm to 1,000 nm, and the doped semiconductor material layer 10 may have a thickness in a range from 100 nm to 1,000 nm, although lesser and greater thicknesses may be employed for each layer within the memory-side substrate (9, 6, 10). Alternatively, the doped semiconductor material layer 10 may include a poly crystalline semiconductor material (such as poly silicon), and may be formed by deposition of the polycrystalline semiconductor material, for example, by chemical vapor deposition.

[0036] Depending on the configuration of semiconductor devices to be subsequently formed thereupon, the doped semiconductor material layer 10 may have a same type of doping as, or may have a doping of an opposite conductivity type from, vertical semiconductor channels to be subsequently formed. In one embodiment, the vertical semiconductor channels may be formed with a doping of a first conductivity type (which may be p-type or n-type), and the doped semiconductor material layer 10 may be formed with a doping of the first conductivity type and may include horizontally-extending semiconductor channels. In another embodiment, the vertical semiconductor channels may be formed with a doping of a first conductivity type (which may be p-type or n-type), and the doped semiconductor material layer 10 may be formed with a doping of a second conductivity type that is the opposite of the first conductivity type, and may be employed as a source layer.

The first exemplary structure may comprise a memory array region 100 in which a three- dimensional array of memory elements is subsequently formed, and a contact region 300 in which contact via structures are subsequently formed.

[0037] A stack of an alternating plurality of first material layers (which can be insulating layers 32) and second material layers (which can be sacrificial material layer 42) is formed over the top surface of the substrate (9, 10). As used herein, a “material layer” refers to a layer including a material throughout the entirety thereof. As used herein, an alternating plurality of first elements and second elements refers to a structure in which instances of the first elements and instances of the second elements alternate. Each instance of the first elements that is not an end element of the alternating plurality is adjoined by two instances of the second elements on both sides, and each instance of the second elements that is not an end element of the alternating plurality is adjoined by two instances of the first elements on both ends. The first elements may have the same thickness thereamongst, or may have different thicknesses. The second elements may have the same thickness thereamongst, or may have different thicknesses. The alternating plurality of first material layers and second material layers may begin with an instance of the first material layers or with an instance of the second material layers, and may end with an instance of the first material layers or with an instance of the second material layers. In one embodiment, an instance of the first elements and an instance of the second elements may form a unit that is repeated with periodicity within the alternating plurality.

[0038] Each first material layer includes a first material, and each second material layer includes a second material that is different from the first material. In one embodiment, each first material layer can be an insulating layer 32, and each second material layer can be a sacrificial material layer. In this case, the stack can include an alternating plurality of insulating layers 32 and sacrificial material layers 42, and constitutes a prototype stack of alternating layers comprising insulating layers 32 and sacrificial material layers 42.

[0039] The stack of the alternating plurality is herein referred to as an alternating stack (32, 42). In one embodiment, the alternating stack (32, 42) can include insulating layers 32 composed of the first material, and sacrificial material layers 42 composed of a second material different from that of insulating layers 32. The first material of the insulating layers 32 can be at least one insulating material. As such, each insulating layer 32 can be an insulating material layer. Insulating materials that can be employed for the insulating layers 32 include, but are not limited to, silicon oxide (including doped or undoped silicate glass), silicon nitride, silicon oxynitride, organosilicate glass (OSG), spin-on dielectric materials, dielectric metal oxides that are commonly known as high dielectric constant (high-k) dielectric oxides (e.g., aluminum oxide, hafnium oxide, etc.) and silicates thereof, dielectric metal oxynitrides and silicates thereof, and organic insulating materials. In one embodiment, the first material of the insulating layers 32 can be silicon oxide.

[0040] The second material of the sacrificial material layers 42 is a sacrificial material that can be removed selective to the first material of the insulating layers 32. As used herein, a removal of a first material is “selective to” a second material if the removal process removes the first material at a rate that is at least twice the rate of removal of the second material. The ratio of the rate of removal of the first material to the rate of removal of the second material is herein referred to as a “selectivity” of the removal process for the first material with respect to the second material.

[0041] The sacrificial material layers 42 may comprise an insulating material, a semiconductor material, or a conductive material. The second material of the sacrificial material layers 42 can be subsequently replaced with electrically conductive electrodes which can function, for example, as control gate electrodes of a vertical NAND device. Non limiting examples of the second material include silicon nitride, an amorphous semiconductor material (such as amorphous silicon), and a polycrystalline semiconductor material (such as polysilicon). In one embodiment, the sacrificial material layers 42 can be spacer material layers that comprise silicon nitride or a semiconductor material including at least one of silicon and germanium.

[0042] In one embodiment, the insulating layers 32 can include silicon oxide, and sacrificial material layers can include silicon nitride sacrificial material layers. The first material of the insulating layers 32 can be deposited, for example, by chemical vapor deposition (CVD). For example, if silicon oxide is employed for the insulating layers 32, tetraethyl orthosilicate (TEOS) can be employed as the precursor material for the CVD process. The second material of the sacrificial material layers 42 can be formed, for example, CVD or atomic layer deposition (ALD).

[0043] The sacrificial material layers 42 can be suitably patterned so that conductive material portions to be subsequently formed by replacement of the sacrificial material layers 42 can function as electrically conductive electrodes, such as the control gate electrodes of the monolithic three-dimensional NAND string memory devices to be subsequently formed. The sacrificial material layers 42 may comprise a portion having a strip shape extending substantially parallel to the major surface 7 of the substrate.

[0044] The thicknesses of the insulating layers 32 and the sacrificial material layers 42 can be in a range from 20 nm to 50 nm, although lesser and greater thicknesses can be employed for each insulating layer 32 and for each sacrificial material layer 42. The number of repetitions of the pairs of an insulating layer 32 and a sacrificial material layer (e.g., a control gate electrode or a sacrificial material layer) 42 can be in a range from 2 to 1,024, and typically from 8 to 256, although a greater number of repetitions can also be employed. The top and bottom gate electrodes in the stack may function as the select gate electrodes. In one embodiment, each sacrificial material layer 42 in the alternating stack (32, 42) can have a uniform thickness that is substantially invariant within each respective sacrificial material layer 42.

[0045] While the present disclosure is described employing an embodiment in which the spacer material layers are sacrificial material layers 42 that are subsequently replaced with electrically conductive layers, embodiments are expressly contemplated herein in which the sacrificial material layers are formed as electrically conductive layers. For example, the spacer material layers 42 may comprise heavily doped polysilicon layers which function as word lines and select gate electrodes of the memory device. In this case, steps for replacing the spacer material layers with electrically conductive layers can be omitted.

[0046] Optionally, an insulating cap layer 70 can be formed over the alternating stack (32, 42). The insulating cap layer 70 includes a dielectric material that is different from the material of the sacrificial material layers 42. In one embodiment, the insulating cap layer 70 can include a dielectric material that can be employed for the insulating layers 32 as described above. The insulating cap layer 70 can have a greater thickness than each of the insulating layers 32. The insulating cap layer 70 can be deposited, for example, by chemical vapor deposition. In one embodiment, the insulating cap layer 70 can be a silicon oxide layer.

[0047] Referring to FIGS. 2 A and 2B, a lithographic material stack (not shown) including at least a photoresist layer can be formed over the insulating cap layer 70, and can be lithographically patterned to form openings therein. The openings include a first set of openings formed over the memory array region 100 and a second set of openings formed over the contact region 300. The pattern in the lithographic material stack can be transferred through the insulating cap layer 70 and through the alternating stack (32, 42) by at least one anisotropic etch that employs the patterned lithographic material stack as an etch mask. Portions of the alternating stack (32, 42) underlying the openings in the patterned lithographic material stack are etched to form memory openings 49 and support openings 19. As used herein, a “memory opening” refers to a structure in which memory elements, such as a memory stack structure, is subsequently formed. As used herein, a “support opening” refers to a structure in which a support structure (such as a support pillar structure) that mechanically supports other elements is subsequently formed. The memory openings 49 are formed in the memory array region 100 in which a three-dimensional array of memory elements is subsequently formed, and the support openings 19 are formed in the contact region 300.

[0048] The chemistry of the anisotropic etch process employed to etch through the materials of the alternating stack (32, 42) can alternate to optimize etching of the first and second materials in the alternating stack (32, 42). The anisotropic etch can be, for example, a series of reactive ion etches. The sidewalls of the memory openings 49 and the support openings 19 can be substantially vertical, or can be tapered. The patterned lithographic material stack can be subsequently removed, for example, by ashing.

[0049] The memory openings 49 and the support openings 19 can extend from the top surface of the alternating stack (32, 42) to at least the horizontal plane including the topmost surface of the doped semiconductor material layer 10. In one embodiment, an overetch into the doped semiconductor material layer 10 may be optionally performed after the top surface of the doped semiconductor material layer 10 is physically exposed at a bottom of each memory opening 49 and each support opening 19. The overetch may be performed prior to, or after, removal of the lithographic material stack. In other words, the recessed surfaces of the doped semiconductor material layer 10 may be vertically offset from the un-recessed top surfaces of the doped semiconductor material layer 10 by a recess depth. The recess depth can be, for example, in a range from 1 nm to 50 nm, although lesser and greater recess depths can also be employed. The overetch is optional, and may be omitted. If the overetch is not performed, the bottom surfaces of the memory openings 49 and the support openings 19 can be coplanar with the topmost surface of the doped semiconductor material layer 10.

[0050] Each of the memory openings 49 and the support openings 19 may include a sidewall (or a plurality of sidewalls) that extends substantially perpendicular to the topmost surface of the substrate. A two-dimensional array of memory openings 49 can be formed in the memory array region 100. A two-dimensional array of support openings 19 can be formed in the contact region 300.

[0051] FIGS. 3A - 3H illustrate structural changes in a memory opening 49, which is one of the memory openings 49 in the first exemplary structure of FIGS. 2 A and 2B. The same structural change occurs simultaneously in each of the other memory openings 49 and in each support opening 19.

[0052] Referring to FIG. 3 A, a memory opening 49 in the exemplary device structure of FIGS. 2A and 2B is illustrated. The memory opening 49 extends through the insulating cap layer 70, the alternating stack (32, 42), and optionally into an upper portion of the doped semiconductor material layer 10. The recess depth of the bottom surface of each memory opening with respect to the top surface of the doped semiconductor material layer 10 can be in a range from 0 nm to 30 nm, although greater recess depths can also be employed. Optionally, the sacrificial material layers 42 can be laterally recessed partially to form backside recesses (not shown), for example, by an isotropic etch.

[0053] Referring to FIG. 3B, an optional pedestal channel portion (e.g., an epitaxial pedestal) 11 can be formed at the bottom portion of each memory opening 49 and each support openings 19, for example, by selective epitaxy. Each pedestal channel portion 11 comprises a single crystalline semiconductor material in epitaxial alignment with the single crystalline semiconductor material of the doped semiconductor material layer 10. In one embodiment, the pedestal channel portion 11 can be doped with electrical dopants of the same conductivity type as the doped semiconductor material layer 10. In one embodiment, the top surface of each pedestal channel portion 11 can be formed above a horizontal plane including the top surface of a sacrificial material layer 42. In this case, at least one source select gate electrode can be subsequently formed by replacing each sacrificial material layer 42 located below the horizontal plane including the top surfaces of the pedestal channel portions 11 with a respective conductive material layer. The pedestal channel portion 11 can be a portion of a transistor channel that extends between a source region to be subsequently formed in the substrate (9, 10) and a drain region to be subsequently formed in an upper portion of the memory opening 49. A memory cavity 49’ is present in the unfilled portion of the memory opening 49 above the pedestal channel portion 11. In one embodiment, the pedestal channel portion 11 can comprise single crystalline silicon. In one embodiment, the pedestal channel portion 11 can have a doping of a first conductivity type, which may be p- type or n-type.

[0054] Referring to FIG. 3C, a stack of layers including an optional blocking dielectric layer 52, a memory material layer 54, a dielectric material liner 56, and an optional sacrificial cover material layer 601 can be sequentially deposited in the memory openings 49 by a respective conformal deposition process.

[0055] The optional blocking dielectric layer 52 can include a single dielectric material layer or a stack of a plurality of dielectric material layers. The blocking dielectric layer 52 can be formed employing a conformal deposition process. In one embodiment, the blocking dielectric layer can include a dielectric metal oxide layer consisting essentially of a dielectric metal oxide. As used herein, a dielectric metal oxide refers to a dielectric material that includes at least one metallic element and at least oxygen. The dielectric metal oxide may consist essentially of the at least one metallic element and oxygen, or may consist essentially of the at least one metallic element, oxygen, and at least one non-metallic element such as nitrogen. In one embodiment, the blocking dielectric layer 52 can include a dielectric metal oxide having a dielectric constant greater than 7.9, i.e., having a dielectric constant greater than the dielectric constant of silicon nitride.

[0056] Non-limiting examples of dielectric metal oxides include aluminum oxide (AI2O3), hafnium oxide (HfCb), lanthanum oxide (LaCb), yttrium oxide (Y2O3), tantalum oxide (Ta 2 C> 5 ), silicates thereof, nitrogen-doped compounds thereof, alloys thereof, and stacks thereof. The dielectric metal oxide layer can be deposited, for example, by chemical vapor deposition (CVD), atomic layer deposition (ALD), pulsed laser deposition (PLD), liquid source misted chemical deposition, or a combination thereof. The thickness of the dielectric metal oxide layer can be in a range from 1 nm to 20 nm, although lesser and greater thicknesses can also be employed. The dielectric metal oxide layer can subsequently function as a dielectric material portion that blocks leakage of stored electrical charges to control gate electrodes. In one embodiment, the blocking dielectric layer 52 includes aluminum oxide. In one embodiment, the blocking dielectric layer 52 can include multiple dielectric metal oxide layers having different material compositions.

[0057] Alternatively or additionally, the blocking dielectric layer 52 can include a dielectric semiconductor compound such as silicon oxide, silicon oxynitride, silicon nitride, or a combination thereof. In one embodiment, the blocking dielectric layer 52 can include silicon oxide. In this case, the dielectric semiconductor compound of the blocking dielectric layer 52 can be formed by a conformal deposition method such as low pressure chemical vapor deposition, atomic layer deposition, or a combination thereof. The thickness of the dielectric semiconductor compound can be in a range from 1 nm to 20 nm, although lesser and greater thicknesses can also be employed. Alternatively, the blocking dielectric layer 52 can be omitted, and a backside blocking dielectric layer can be formed after formation of backside recesses on surfaces of memory films to be subsequently formed.

[0058] Subsequently, the memory material layer 54 can be deposited as a continuous material layer by a conformal deposition process such as a chemical vapor deposition process or an atomic layer deposition process. The memory material layer 54 includes a memory material, i.e., a material that can store data by selecting a state of the material. For example, the memory material layer 54 may include a charge storage material such as silicon nitride, polysilicon, or a metallic material, a ferroelectric material that can store information in the form of a ferroelectric polarization direction, or any other memory material that can store data by altering electrical resistivity.

[0059] The memory material layer 54 can be formed as a single memory material layer of homogeneous composition, or can include a stack of multiple memory material layers. In one embodiment, the memory material layer 54 may comprise an insulating charge trapping material, such as one or more silicon nitride segments. The memory material layer 54 can be formed, for example, by chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD), or any suitable deposition technique for storing electrical charges therein. The thickness of the memory material layer 54 can be in a range from 2 nm to 20 nm, although lesser and greater thicknesses can also be employed.

[0060] The dielectric material liner 56 includes a dielectric material. The dielectric material liner 56 can be formed on the memory material layer 54 employing a conformal deposition process. In one embodiment, the dielectric material liner 56 comprises a tunneling dielectric layer through which charge tunneling can be performed under suitable electrical bias conditions. The charge tunneling may be performed through hot-carrier injection or by Fowler-Nordheim tunneling induced charge transfer depending on the mode of operation of the monolithic three-dimensional NAND string memory device to be formed. The dielectric material liner 56 can include silicon oxide, silicon nitride, silicon oxynitride, dielectric metal oxides (such as aluminum oxide and hafnium oxide), dielectric metal oxynitride, dielectric metal silicates, alloys thereof, and/or combinations thereof. In one embodiment, the dielectric material liner 56 can include a stack of a first silicon oxide layer, a silicon oxynitride layer, and a second silicon oxide layer, which is commonly known as an ONO stack. In one embodiment, the dielectric material liner 56 can include a silicon oxide layer that is substantially free of carbon or a silicon oxynitride layer that is substantially free of carbon. The thickness of the dielectric material liner 56 can be in a range from 2 nm to 20 nm, although lesser and greater thicknesses can also be employed.

[0061] The optional sacrificial cover material layer 601 includes a sacrificial material that can be subsequently removed selective to the material of the dielectric material liner 56. In one embodiment, the sacrificial cover material layer 601 can include a semiconductor material such as amorphous silicon, or may include a carbon-based material such as amorphous carbon or diamond-like carbon (DLC). The sacrificial cover material layer 601 can be formed by a conformal deposition method such as low pressure chemical vapor deposition (LPCVD). The thickness of the sacrificial cover material layer 601 can be in a range from 2 nm to 10 nm, although lesser and greater thicknesses can also be employed. A memory cavity 49’ is formed in the volume of each memory opening 49 that is not filled with the deposited material layers (52, 54, 56, 601).

[0062] Referring to FIG. 3D, the optional sacrificial cover material layer 601, the dielectric material liner 56, the memory material layer 54, and the blocking dielectric layer 52 overlying the insulating cap layer 70 are sequentially anisotropically etched employing at least one anisotropic etch process. The portions of the sacrificial cover material layer 601, the dielectric material liner 56, the memory material layer 54, and the blocking dielectric layer 52 located above the top surface of the insulating cap layer 70 can be removed by the at least one anisotropic etch process. Further, the horizontal portions of the sacrificial cover material layer 601, the dielectric material liner 56, the memory material layer 54, and the blocking dielectric layer 52 at a bottom of each memory cavity 49’ can be removed to form openings in remaining portions thereof. Each of the sacrificial cover material layer 601, the dielectric material liner 56, the memory material layer 54, and the blocking dielectric layer 52 can be etched by a respective anisotropic etch process employing a respective etch chemistry, which may, or may not, be the same for the various material layers.

[0063] Each remaining portion of the sacrificial cover material layer 601 can have a tubular configuration. The memory material layer 54 can comprise a charge trapping material, a floating gate material, a ferroelectric material, or a resistive memory material that can provide at least two different levels of resistivity (such as a phase change material), or any other memory material that can store information by a change in state. In one embodiment, each memory material layer 54 can include a vertical stack of charge storage regions that store electrical charges upon programming. In one embodiment, the memory material layer 54 can be a memory material layer in which each portion adjacent to the sacrificial material layers 42 constitutes a charge storage region.

[0064] A surface of the pedestal channel portion 11 (or a surface of the doped semiconductor material layer 10 in case the pedestal channel portions 11 are not employed) can be physically exposed underneath the opening through the sacrificial cover material layer 601, the dielectric material liner 56, the memory material layer 54, and the blocking dielectric layer 52. Optionally, the physically exposed semiconductor surface at the bottom of each memory cavity 49’ can be vertically recessed so that the recessed semiconductor surface underneath the memory cavity 49’ is vertically offset from the topmost surface of the pedestal channel portion 11 (or of the doped semiconductor material layer 10 in case pedestal channel portions 11 are not employed) by a recess distance. A dielectric material liner 56 is located over the memory material layer 54. A set of a blocking dielectric layer 52, a memory material layer 54, and a dielectric material liner 56 in a memory opening 49 constitutes a memory film 50, which includes a plurality of charge storage regions (comprising portions of the memory material layer 54) that are insulated from surrounding materials by the blocking dielectric layer 52 and the dielectric material liner 56. In one embodiment, the sacrificial cover material layer 601, the dielectric material liner 56, the memory material layer 54, and the blocking dielectric layer 52 can have vertically coincident sidewalls. The sacrificial cover material layer 601 can be subsequently removed selective to the material of the dielectric material liner 56. In case the sacrificial cover material layer 601 includes a semiconductor material, a wet etch process employing hot trimethyl-2 hydroxy ethyl ammonium hydroxide (“hot TMY”) or tetramethyl ammonium hydroxide (TMAH) can be performed to remove the sacrificial cover material layer 601. Alternatively, the sacrificial cover material layer 601 may be retained in the final device if it comprises a semiconductor material.

[0065] Referring to FIG. 3E, a semiconductor channel layer 60L can be deposited directly on the semiconductor surface of the pedestal channel portion 11 or the doped semiconductor material layer 10 if the pedestal channel portion 11 is omitted, and directly on the dielectric material liner 56. The semiconductor channel layer 60L includes a semiconductor material such as at least one elemental semiconductor material, at least one III-V compound semiconductor material, at least one II- VI compound semiconductor material, at least one organic semiconductor material, or other semiconductor materials known in the art. In one embodiment, the semiconductor channel layer 60L includes amorphous silicon or polysilicon. The semiconductor channel layer 60L can have a doping of a first conductivity type, which is the same as the conductivity type of the doped semiconductor material layer 10 and the pedestal channel portions 11. The semiconductor channel layer 60L can be formed by a conformal deposition method such as low pressure chemical vapor deposition (LPCVD). The thickness of the semiconductor channel layer 60L can be in a range from 2 nm to 10 nm, although lesser and greater thicknesses can also be employed. The semiconductor channel layer 60L may partially fill the memory cavity 49’ in each memory opening, or may fully fill the cavity in each memory opening.

[0066] Referring to FIG. 3F, in case the memory cavity 49’ in each memory opening is not completely filled by the semiconductor channel layer 60L, a dielectric core layer 62C can be deposited in the memory cavity 49’ to fill any remaining portion of the memory cavity 49’ within each memory opening. The dielectric core layer 62C includes a dielectric material such as silicon oxide or organosilicate glass. The dielectric core layer 62C can be deposited by a conformal deposition method such as low pressure chemical vapor deposition (LPCVD), or by a self-planarizing deposition process such as spin coating.

[0067] Referring to FIG. 3G, the horizontal portion of the dielectric core layer 62C can be removed, for example, by a recess etch process such that each remaining portions of the dielectric core layer 62C is located within a respective memory opening 49 and has a respective top surface below the horizontal plane including the top surface of the insulating cap layer 70. Each remaining portion of the dielectric core layer 62C constitutes a dielectric core 62.

[0068] Referring to FIG. 3H, a doped semiconductor material having a doping of a second conductivity type can be deposited within each recessed region above the dielectric cores 62. The deposited semiconductor material can have a doping of a second conductivity type that is the opposite of the first conductivity type. For example, if the first conductivity type is p-type, the second conductivity type is n-type, and vice versa. The dopant concentration in the deposited semiconductor material can be in a range from 5.0 x 10 18 /cm 3 to 2.0 x 10 21 /cm 3 , although lesser and greater dopant concentrations can also be employed. The doped semiconductor material can be, for example, doped polysilicon.

[0069] Excess portions of the deposited semiconductor material having a doping of the second conductivity type and a horizontal portion of the semiconductor channel layer 60L can be removed from above the horizontal plane including the top surface of the insulating cap layer 70, for example, by chemical mechanical planarization (CMP) or a recess etch process. Each remaining portion of the doped semiconductor material having a doping of the second conductivity type constitutes a drain region 63. Each remaining portion of the semiconductor channel layer 60L (which has a doping of the first conductivity type) constitutes a vertical semiconductor channel 60.

[0070] A dielectric material liner 56 is surrounded by a memory material layer 54, and laterally surrounds a portion of the vertical semiconductor channel 60. Each adjoining set of a blocking dielectric layer 52, a memory material layer 54, and a dielectric material liner 56 collectively constitute a memory film 50, which can store electrical charges or electrical polarization with a macroscopic retention time. In some embodiments, a blocking dielectric layer 52 may not be present in the memory film 50 at this step, and a backside blocking dielectric layer may be subsequently formed after formation of backside recesses. Furthermore, if the ferroelectric memory material layer 54 is used, then the tunneling dielectric layer 56 may be omitted. As used herein, a macroscopic retention time refers to a retention time suitable for operation of a memory device as a permanent memory device such as a retention time in excess of 24 hours.

[0071] Each combination of a memory film 50 and a vertical semiconductor channel 60 within a memory opening 49 constitutes a memory stack structure 55. The memory stack structure 55 is a combination of a semiconductor channel, a dielectric material liner, a plurality of memory elements comprising portions of the memory material layer 54, and an optional blocking dielectric layer 52. An entire set of material portions that fills a memory opening 49 is herein referred to as a memory opening fill structure 58. An entire set of material portions that fills a support opening 19 constitutes a support pillar structure.

[0072] Referring to FIG. 31, an alternative configuration of a memory opening fill structure 58 is illustrated, which can be derived from the memory opening fill structure illustrated in FIG. 3H by omitting formation of a pedestal channel portion 11. In this case, the vertical semiconductor channel 60 may directly contact the doped semiconductor material layer 10.

[0073] Generally, a memory opening fill structure 58 can be formed in each memory opening 49. The memory opening fill structure 58 comprises an optional blocking dielectric layer 52, a memory material layer 54, an optional dielectric material liner 56, and a vertical semiconductor channel 60. A dielectric material liner 56 may laterally surround the vertical semiconductor channel 60. The memory material layer 54 can laterally surround the dielectric material liner 56.

[0074] In case a blocking dielectric layer 52 is present in each memory opening fill structure 58, the blocking dielectric layer 52 may be formed on a sidewall of a memory opening 49, and the vertical stack of memory elements (which may comprise portions of the memory material layer 54) may be formed on the blocking dielectric layer 52. In one embodiment, the vertical stack of memory elements comprises portions of a charge storage layer (comprising portions of the memory material layer 54) located at the levels of the sacrificial material layers 42. In case a dielectric material liner 56 is present in each memory opening fill structure 58, the dielectric material liner 56 may be formed on the vertical stack of memory elements. In on embodiment, the dielectric material liner 56 may comprise a tunneling dielectric layer. In this case, the vertical semiconductor channel 60 can be formed on the tunneling dielectric layer. The blocking dielectric layer 52 laterally surrounds the charge storage layer and the tunneling dielectric layer can be located between the charge storage layer and the vertical semiconductor channel 60. A vertical NAND string can be formed through each memory opening upon subsequent replacement of the sacrificial material layers 42 with electrically conductive layers.

[0075] Referring to FIG. 4, the first exemplary structure is illustrated after formation of memory opening fill structures 58 and support pillar structure 20 within the memory openings 49 and the support openings 19, respectively. An instance of a memory opening fill structure 58 can be formed within each memory opening 49 of the structure of FIGS. 2 A and 2B. An instance of the support pillar structure 20 can be formed within each support opening 19 of the structure of FIGS. 2 A and 2B.

[0076] Each memory stack structure 55 includes a vertical semiconductor channel 60 and a memory film 50. The memory film 50 may comprise a dielectric material liner 56 laterally surrounding the vertical semiconductor channel 60, a vertical stack of charge storage regions (comprising portions of memory material layer 54) laterally surrounding the dielectric material liner 56, and an optional blocking dielectric layer 52. While the present disclosure is described employing the illustrated configuration for the memory stack structure, the methods of the present disclosure can be applied to alternative memory stack structures including different layer stacks or structures for the memory film 50 and/or for the vertical semiconductor channel 60.

[0077] In one embodiment, each of the memory opening fill structures 58 comprises a respective vertical semiconductor channel 60 located in proximity to the respective vertical stack of memory elements (which may comprise portions of a memory material layer 54 that are located at levels of the sacrificial material layers 42) and having a doping of a first conductivity type. In one embodiment, the pedestal channel portions 11 may be omitted, and the doped semiconductor material layer 10 may be in contact with each of the vertical semiconductor channels 60.

[0078] In one embodiment, the doped semiconductor material layer 10 may comprise a single crystalline semiconductor material layer, and each of the memory opening fill structures 58 comprises a respective pedestal channel portion 11 comprising a single crystalline semiconductor material portion having a single crystalline lattice structure in epitaxial alignment with a single crystalline semiconductor material of the doped semiconductor material layer 10.

[0079] Referring to FIGS. 5 A and 5B, a contact-level dielectric layer 73 can be formed over the alternating stack (32, 42) of insulating layer 32 and sacrificial material layers 42, and over the memory stack structures 55 and the support pillar structures 20. The contact-level dielectric layer 73 includes a dielectric material that is different from the dielectric material of the sacrificial material layers 42. For example, the contact-level dielectric layer 73 can include silicon oxide. The contact-level dielectric layer 73 can have a thickness in a range from 50 nm to 500 nm, although lesser and greater thicknesses can also be employed. [0080] A photoresist layer (not shown) can be applied over the contact-level dielectric layer 73, and is lithographically patterned to form openings in areas between clusters of memory stack structures 55. The pattern in the photoresist layer can be transferred through the contact-level dielectric layer 73 and the alternating stack (32, 42) employing an anisotropic etch to form backside trenches 79, which vertically extend from the top surface of the contact-level dielectric layer 73 at least to the top surface of the substrate (9, 10), and laterally extend through the memory array region 100 and the contact region 300.

[0081] In one embodiment, the backside trenches 79 can laterally extend along a first horizontal direction (e.g., word line direction) hdl and can be laterally spaced apart from each other along a second horizontal direction (e.g., bit line direction) hd2 that is perpendicular to the first horizontal direction hdl. The memory stack structures 55 can be arranged in rows that extend along the first horizontal direction hdl. The drain-select-level isolation structures 72 can laterally extend along the first horizontal direction hdl. Each backside trench 79 can have a uniform width that is invariant along the lengthwise direction (i.e., along the first horizontal direction hdl). Each drain-select-level isolation structure 72 can have a uniform vertical cross-sectional profile along vertical planes that are perpendicular to the first horizontal direction hdl that is invariant with translation along the first horizontal direction hdl . Multiple rows of memory stack structures 55 can be located between a neighboring pair of a backside trench 79 and a drain-select-level isolation structure 72, or between a neighboring pair of drain-select-level isolation structures 72. In one embodiment, the backside trenches 79 can include a source contact opening in which a source contact via structure can be subsequently formed. The photoresist layer can be removed, for example, by ashing.

[0082] In one embodiment, a source region 61 can be formed at a surface portion of the doped semiconductor material layer 10 under each backside trench 79 by implantation of electrical dopants into physically exposed surface portions of the doped semiconductor material layer 10. An upper portion of the doped semiconductor material layer 10 that extends between the source region 61 and the plurality of pedestal channel portions 11 constitutes a horizontal semiconductor channel 59 for a plurality of field effect transistors.

The horizontal semiconductor channel 59 is connected to multiple vertical semiconductor channels 60 through respective pedestal channel portions 11. The horizontal semiconductor channel 59 contacts the source region 61 and the plurality of pedestal channel portions 11. [0083] Referring to FIG. 6, an etchant that selectively etches the second material of the sacrificial material layers 42 with respect to the first material of the insulating layers 32 can be introduced into the backside trenches 79, for example, employing an etch process. Backside recesses 43 are formed in volumes from which the sacrificial material layers 42 are removed. The removal of the second material of the sacrificial material layers 42 can be selective to the first material of the insulating layers 32, the semiconductor material of the doped semiconductor material layer 10, and the material of the outermost layer of the memory films 50. In one embodiment, the sacrificial material layers 42 can include silicon nitride, and the materials of the insulating layers 32 can be selected from silicon oxide and dielectric metal oxides.

[0084] The etch process that removes the second material selective to the first material and the outermost layer of the memory films 50 can be a wet etch process employing a wet etch solution, or can be a gas phase (dry) etch process in which the etchant is introduced in a vapor phase into the backside trenches 79. For example, if the sacrificial material layers 42 include silicon nitride, the etch process can be a wet etch process in which the first exemplary structure is immersed within a wet etch tank including phosphoric acid, which etches silicon nitride selective to silicon oxide, silicon, and various other materials employed in the art. The support pillar structure 20 and the memory opening fill structures 58 provide structural support while the backside recesses 43 are present within volumes previously occupied by the sacrificial material layers 42.

[0085] Each backside recess 43 can be a laterally extending cavity having a lateral dimension that is greater than the vertical extent of the cavity. In other words, the lateral dimension of each backside recess 43 can be greater than the height of the backside recess 43. A plurality of backside recesses 43 can be formed in the volumes from which the second material of the sacrificial material layers 42 is removed. The memory openings in which the memory stack structures 55 are formed are herein referred to as front side openings or front side cavities in contrast with the backside recesses 43. In one embodiment, the memory array region 100 comprises an array of monolithic three-dimensional NAND strings having a plurality of device levels disposed above the substrate (9, 10). In this case, each backside recess 43 can define a space for receiving a respective word line of the array of monolithic three-dimensional NAND strings. [0086] Each of the plurality of backside recesses 43 can extend substantially parallel to the top surface of the substrate (9, 10). A backside recess 43 can be vertically bounded by a top surface of an underlying insulating layer 32 and a bottom surface of an overlying insulating layer 32. In one embodiment, each backside recess 43 can have a uniform height throughout.

[0087] Physically exposed surface portions of the optional pedestal channel portions 11 and the doped semiconductor material layer 10 can be converted into dielectric material portions by thermal conversion and/or plasma conversion of the semiconductor materials into dielectric materials. For example, thermal conversion and/or plasma conversion can be employed to convert a surface portion of each pedestal channel portion 11 into a tubular dielectric spacer 116, and to convert each physically exposed surface portion of the doped semiconductor material layer 10 into a planar dielectric portion 616. In one embodiment, each tubular dielectric spacer 116 can be topologically homeomorphic to a torus, i.e., generally ring-shaped. As used herein, an element is topologically homeomorphic to a torus if the shape of the element can be continuously stretched without destroying a hole or forming a new hole into the shape of a torus. The tubular dielectric spacers 116 include a dielectric material that includes the same semiconductor element as the pedestal channel portions 11 and additionally includes at least one non-metallic element such as oxygen and/or nitrogen such that the material of the tubular dielectric spacers 116 is a dielectric material. In one embodiment, the tubular dielectric spacers 116 can include a dielectric oxide, a dielectric nitride, or a dielectric oxynitride of the semiconductor material of the pedestal channel portions 11. Likewise, each planar dielectric portion 616 includes a dielectric material that includes the same semiconductor element as the semiconductor material layer and additionally includes at least one non-metallic element such as oxygen and/or nitrogen such that the material of the planar dielectric portions 616 is a dielectric material. In one embodiment, the planar dielectric portions 616 can include a dielectric oxide, a dielectric nitride, or a dielectric oxynitride of the semiconductor material of the doped semiconductor material layer 10.

[0088] Referring to FIG. 7, a backside blocking dielectric layer (not shown) can be optionally formed. The backside blocking dielectric layer, if present, comprises a dielectric material that functions as a control gate dielectric for the control gates to be subsequently formed in the backside recesses. [0089] At least one conductive material can be deposited in the backside recesses 43. For example, a combination of a metallic barrier layer and a metallic fill material can be deposited in the backside recesses 43. The metallic barrier layer includes an electrically conductive metallic material that can function as a diffusion barrier layer and/or adhesion promotion layer for a metallic fill material to be subsequently deposited. The metallic barrier layer can include a conductive metallic nitride material such as TiN, TaN, WN, or a stack thereof, or can include a conductive metallic carbide material such as TiC, TaC, WC, or a stack thereof. In one embodiment, the metallic barrier layer can be deposited by a conformal deposition process such as chemical vapor deposition (CVD) or atomic layer deposition (ALD). The thickness of the metallic barrier layer can be in a range from 2 nm to 8 nm, such as from 3 nm to 6 nm, although lesser and greater thicknesses can also be employed. In one embodiment, the metallic barrier layer can consist essentially of a conductive metal nitride such as TiN.

[0090] The metal fill material can be subsequently deposited in the plurality of backside recesses 43, on the sidewalls of the at least one the backside trench 79, and over the top surface of the contact-level dielectric layer 73 to form a metallic fill material layer (not illustrated). The metallic fill material can be deposited by a conformal deposition method, which can be, for example, chemical vapor deposition (CVD), atomic layer deposition (ALD), electroless plating, electroplating, or a combination thereof. In one embodiment, the metallic fill material layer can consist essentially of at least one elemental metal. The at least one elemental metal of the metallic fill material layer can be selected, for example, from tungsten, cobalt, ruthenium, titanium, and tantalum. In one embodiment, the metallic fill material layer can consist essentially of a single elemental metal. In one embodiment, the metallic fill material layer can be deposited employing a fluorine-containing precursor gas such as WFe. In one embodiment, the metallic fill material layer can be a tungsten layer including a residual level of fluorine atoms as impurities. The metallic fill material layer is spaced from the insulating layers 32 and the memory stack structures 55 by the metallic barrier layer, which is a metallic barrier layer that blocks diffusion of fluorine atoms therethrough.

[0091] A plurality of electrically conductive layers 46 can be formed in the plurality of backside recesses 43, and a continuous metallic material layer can be formed on the sidewalls of each backside trench 79 and over the contact-level dielectric layer 73. Each electrically conductive layer 46 includes a portion of the metallic barrier layer and a portion of the metallic fill material layer that are located between a vertically neighboring pair of dielectric material layers such as a pair of insulating layers 32. The continuous metallic material layer includes a continuous portion of the metallic barrier layer and a continuous portion of the metallic fill material layer that are located in the backside trenches 79 or above the contact- level dielectric layer 73.

[0092] Each sacrificial material layer 42 can be replaced with an electrically conductive layer 46. A backside cavity is present in the portion of each backside trench 79 that is not filled with the continuous metallic material layer. A tubular dielectric spacer 116 laterally surrounds a pedestal channel portion 11. A bottommost electrically conductive layer 46 laterally surrounds each tubular dielectric spacer 116 upon formation of the electrically conductive layers 46.

[0093] The deposited metallic material of the continuous electrically conductive material layer is etched back from the sidewalls of each backside trench 79 and from above the contact-level dielectric layer 73, for example, by an isotropic wet etch, an anisotropic dry etch, or a combination thereof. Each remaining portion of the deposited metallic material in the backside recesses 43 constitutes an electrically conductive layer 46. Each electrically conductive layer 46 can be a conductive line structure. Thus, the sacrificial material layers 42 are replaced with the electrically conductive layers 46.

[0094] Each electrically conductive layer 46 can function as a combination of a plurality of control gate electrodes located at a same level and a word line electrically interconnecting, i.e., electrically shorting, the plurality of control gate electrodes located at the same level.

The plurality of control gate electrodes within each electrically conductive layer 46 are the control gate electrodes for the vertical memory devices including the memory stack structures 55. In other words, each electrically conductive layer 46 can be a word line that functions as a common control gate electrode for the plurality of vertical memory devices.

[0095] In one embodiment, the removal of the continuous electrically conductive material layer can be selective to the material of the backside blocking dielectric layer. In this case, a horizontal portion of the backside blocking dielectric layer can be present at the bottom of each backside trench 79. In another embodiment, the removal of the continuous electrically conductive material layer may not be selective to the material of the backside blocking dielectric layer or, the backside blocking dielectric layer may not be employed. The planar dielectric portions 616 can be removed during removal of the continuous electrically conductive material layer. A backside cavity is present within each backside trench 79. [0096] A bottommost electrically conductive layer 46 provided upon formation of the electrically conductive layers 46 within the alternating stack (32, 46) can comprise a source side select gate electrode for the NAND strings. At least one topmost electrically conductive layer 46 provided upon formation of the electrically conductive layers 46 within the alternating stack (32, 46) can comprise a drain side select gate electrode for the NAND strings.

[0097] Each source region 61 is formed in an upper portion of the doped semiconductor material layer 10. Semiconductor channels (59, 11, 60) extend between each source region 61 and a respective set of drain regions 63. The semiconductor channels (59, 11, 60) include the vertical semiconductor channels 60 of the memory stack structures 55.

[0098] In an alternative configuration, the doped semiconductor material layer 10 may have a doping of a second conductivity type that is the opposite of the first conductivity type, and may be employed as a source layer. In another alternative configuration, the alternating stack formed in the step of FIG. 1 includes insulating layers 32 and electrically conductive layers 46, such as heavily doped polysilicon layers. In this alternative configuration, the steps shown in FIGS. 5 A to 7 may be omitted.

[0099] Referring to FIG. 8, an insulating material layer can be formed in the backside trenches 79 and over the contact-level dielectric layer 73 by a conformal deposition process. Exemplary conformal deposition processes include, but are not limited to, chemical vapor deposition and atomic layer deposition.

[00100] The insulating material layer includes an insulating material such as silicon oxide, silicon nitride, a dielectric metal oxide, an organosilicate glass, or a combination thereof. In one embodiment, the insulating material layer can include silicon oxide. The insulating material layer can be formed, for example, by low pressure chemical vapor deposition (LPCVD) or atomic layer deposition (ALD). The thickness of the insulating material layer can be in a range from 1.5 nm to 60 nm, although lesser and greater thicknesses can also be employed.

[00101] An anisotropic etch is performed to remove horizontal portions of the insulating material layer from above the contact-level dielectric layer 73 and at the bottom of each backside trench 79. Each remaining portion of the insulating material layer constitutes an insulating spacer 74. A backside cavity is present within a volume surrounded by each insulating spacer 74. A top surface of the doped semiconductor material layer 10 can be physically exposed at the bottom of each backside trench 79.

[00102] A backside contact via structure 76 can be formed within each backside cavity. Each contact via structure 76 can fill a respective cavity. The contact via structures 76 can be formed by depositing at least one conductive material in the remaining unfilled volume (i.e., the backside cavity) of the backside trench 79. For example, the at least one conductive material can include a conductive liner 76A and a conductive fill material portion 76B. The conductive liner 76A can include a conductive metallic liner such as TiN, TaN, WN, TiC, TaC, WC, an alloy thereof, or a stack thereof. The thickness of the conductive liner 76A can be in a range from 3 nm to 30 nm, although lesser and greater thicknesses can also be employed. The conductive fill material portion 76B can include a metal or a metallic alloy. For example, the conductive fill material portion 76B can include W, Cu, Al, Co, Ru, Ni, an alloy thereof, or a stack thereof.

[00103] The at least one conductive material can be planarized employing the contact- level dielectric layer 73 overlying the alternating stack (32, 46) as a stopping layer. If chemical mechanical planarization (CMP) process is employed, the contact-level dielectric layer 73 can be employed as a CMP stopping layer. Each remaining continuous portion of the at least one conductive material in the backside trenches 79 constitutes a backside contact via structure 76.

[00104] Generally, a three-dimensional memory array can be formed over a memory-side substrate (9, 6, 10) including a semiconductor material layer 10. The three-dimensional memory array may be formed by forming an alternating stack of insulating layers 32 and spacer material layers over the memory-side substrate (9, 6, 10). The spacer material layers are formed as, or are subsequently replaced with, electrically conductive layers 46. Memory openings 49 vertically extending through the alternating stack {(32, 42) or (32, 46)} can be formed. Memory opening fill structures 58 are formed in the memory openings 49. Each of the memory opening fill structures 58 comprises a respective vertical stack of memory elements, which may comprise portions of a memory material layer 54 located at levels of the electrically conductive layers 46. Optional backside trenches 79 can be formed such that they laterally extend along the first horizontal direction hdl and vertically extend through the alternating stack (32, 46) and extends to the memory-side substrate (9, 6, 10). Backside trench fill structures (74, 76) can be located within the backside trenches 79. The backside trench fill structures (74, 76) can comprise dielectric surfaces that contact sidewalls of the alternating stack (32, 46) and the memory-side substrate.

[00105] Referring to FIG. 9, memory-side dielectric material layers 960 embedding memory-side metal interconnect structures 980 and memory-side bonding pads 988 can be formed over the three-dimensional memory array to form a memory die 900. For example, the memory-side dielectric material layers 960 can be formed directly on the contact-level dielectric layer 73. The memory-side dielectric material layers 960 may comprise various via-level dielectric material layers and various line-level dielectric material layers.

[00106] The memory-side metal interconnect structures 980 may comprise various metal via structures and various metal line structures. For example, drain contact via structures 981 can be formed on a respective one of the drain regions 63, and bit lines 982 can be formed on a respective subset of the drain contact via structures 981. The memory-side bonding pads 988 can be formed in the topmost layer of the memory-side dielectric material layers 960, and can be electrically connected to a respective one or more of the electrical nodes (e.g., bit lines 982 and drain regions 63) of the three-dimensional memory array.

[00107] Generally, the memory-side dielectric material layers 960 can be formed on a first side of a combination of a three-dimensional memory array and the memory-side substrate (9, 6, 10), and can embed memory-side metal interconnect structures 980 and memory-side bonding pads 988. The three-dimensional memory array may be more proximal to the memory-side dielectric material layers 960 than the memory-side substrate (9, 6, 10) is to the memory-side dielectric material layers 960. In one embodiment, a subset of the memory- side bonding pads 988 can be electrically connected to a respective one of the bit lines 982 and to a respective subset of the drain regions 63 in the three-dimensional memory array. [00108] In one embodiment, a two-dimensional array of memory dies 900 can be formed such that each of the memory dies 900 comprises a respective portion of the memory-side substrate (9, 6, 10) in case the memory-side substrate (9, 6, 10) has an area that is larger than the area of a single memory die 900, which may be the case when the memory-side substrate (9, 6, 10) comprises a commercially available semiconductor substrate.

[00109] FIG. 10 illustrates a logic die 700 according to an embodiment of the present disclosure. The logic die 700 comprises a logic-side substrate 709, which may comprise a commercially available semiconductor substrate, such as a single crystalline silicon wafer. . [00110] According to an aspect of the present disclosure, a logic-side peripheral (e.g., driver) circuit 720 can be formed on the top surface of the logic-side substrate 709. The logic-side peripheral circuit 720 comprises a first subset of logic (e.g., driver) devices configured to control operation of the three-dimensional memory array of the memory die 900.

[00111] In one embodiment the logic-side peripheral circuit 720 comprises a bit line driver circuit which includes sense amplifier devices and other bit line driver devices. In one embodiment, the logic die 700 lacks any word line driver circuit devices, such as word line switching transistors.

[00112] The logic-side peripheral circuit 720 may comprise complementary metal oxide semiconductor (CMOS) field effect transistors that form a CMOS circuit. Each of the CMOS field effect transistors may comprise a gate structure 750 and active regions 730 . Each gate structure 750 may include a stack of a gate dielectric and a gate electrode. The active regions 730 may include doped source regions and doped drain regions separated by a semiconductor channel.

[00113] Logic-side dielectric material layers 760 embedding logic-side metal interconnect structures 780 and logic-side bonding pads 788 can be formed over the logic-side peripheral circuit 720. The logic-side metal interconnect structures 780 comprise various metal via structures and various metal line structures that provide electrical interconnection between the various components (such as the field effect transistors and other passive components, such as resistors and/or capacitors) of the logic-side peripheral circuit 720. The logic-side bonding pads 788 can be formed in the topmost layer of the logic-side dielectric material layers 760, and can be arranged in a pattern that is a mirror image pattern of the memory-side bonding pads 988 of the memory die 900. Each of the logic-side bonding pads 788 can be electrically connected to a respective electrical node of the logic-side peripheral circuit 720. [00114] In one embodiment, the memory-side metal interconnect structures 980 in the memory die 900 comprise bit lines 982 of the three-dimensional memory array, and the logic- side peripheral circuit 720 may comprise a first subset of logic devices for controlling operation of the three-dimensional memory array. In one embodiment, the first subset of logic devices may comprise bit line drivers configured to drive the bit lines 982.

[00115] Referring to FIG. 11, the logic die 700 can be bonded with the memory die 900 to form a bonded assembly. In one embodiment, metal-to-metal bonding between the memory- side bonding pads 988 and the logic-side bonding pads 788 may be performed to bond the logic die 700 to the memory die 900. Metal-to-metal bonding provides the benefit of allowing subsequent high temperature processing on the bonded assembly at processing temperatures above the temperature range of solder materials.

[00116] In one embodiment, the memory-side metal interconnect structures 980 comprise bit lines 982 of the three-dimensional memory array, and the first subset of logic devices (comprising portions of the logic-side peripheral circuit 720) in the logic die 700 comprises bit line drivers configured to drive the bit lines 982. In this case, the memory-side metal interconnect structures 980, the logic-side metal interconnect structures 780, the memory-side bonding pads 988, and the logic-side bonding pads 788 comprise electrically conductive paths that provide electrical connection between the bit lines 982 and the bit line drivers. Generally, the logic-side peripheral circuit 720 comprises a subset of the logic devices configured to control operation of the three-dimensional memory array and located on the logic-side substrate 709.

[00117] Referring to FIG. 12, the semiconductor material layer 9 of the memory-side substrate (9, 6, 10) can be subsequently thinned, for example, by grinding, polishing, an anisotropic etch process, and/or an isotropic etch process. The thickness of the semiconductor material layer 9 may be in a range from 0.5 micron to 100 microns, such as from 1 micron to 30 microns, after thinning, although lesser and greater thicknesses may also be employed.

[00118] The memory-side substrate (9, 6, 10) cam be subsequently patterned, for example, by covering the area of the memory array region 100 while not covering the area of the contact region 300 with a patterned photoresist layer (not shown), and can be removing unmasked portions of the memory-side substrate (9, 6, 10) employing an etch process such as an anisotropic etch process. A portion of a backside surface of the alternating stack (32, 46) is physically exposed in the contact region 300.

[00119] Unmasked regions of the alternating stack (32, 46) of the insulating layers 32 and the electrically conductive layers 46 can be subsequently patterned to form stepped surfaces. Specifically, the stepped surfaces can be formed by patterning the alternating stack (32, 46) within an area from which the memory-side substrate (9, 16, 10) is removed. As used herein, “stepped surfaces” refer to a set of surfaces that include at least two horizontal surfaces and at least two vertical surfaces such that each horizontal surface is adjoined to a first vertical surface that extends upward from a first edge of the horizontal surface, and is adjoined to a second vertical surface that extends downward from a second edge of the horizontal surface. A stepped cavity is formed within the volume from which portions of the alternating stack (32, 46) are removed through formation of the stepped surfaces. A “stepped cavity” refers to a cavity having stepped surfaces.

[00120] The stepped cavity can have various stepped surfaces such that the horizontal cross-sectional shape of the stepped cavity changes in steps as a function of the vertical distance from the horizontal plane including the bonding interface between the memory die 900 and the logic die 700. In one embodiment, the stepped cavity can be formed by repetitively performing a set of processing steps. The set of processing steps can include, for example, an etch process of a first type that vertically increases the depth of a cavity by one or more levels, and an etch process of a second type that laterally expands the area to be vertically etched in a subsequent etch process of the first type. As used herein, a “level” of a structure including alternating plurality is defined as the relative position of a pair of a first material layer and a second material layer within the structure. The lateral extent of the electrically conductive layers 46 decreases stepwise with a vertical distance from the horizontal plane including the bonding interface between the memory die 900 and the logic die 700. In other words, the lateral extent of the electrically conductive layers 46 increases stepwise with a vertical distance from the doped semiconductor material layer 10. In this case, the alternating stack (32, 46) comprises a staircase region in which the electrically conductive layers 46 have variable lateral extents that decrease with a vertical distance from the horizontal plane including bonding surfaces of the memory-side bonding pads 988 and the logic-side bonding pads 788.

[00121] Referring to FIG. 13, a dielectric material such as doped or undoped silicate glass can be deposited in the stepped cavity over the stepped surfaces of the alternating stack (32, 46). The deposited dielectric material can be planarized by removing portions of the deposited dielectric material from above the horizontal plane including the topmost surface (i.e., the backside surface) of the semiconductor material layer 9. A remaining portion of the dielectric material that fills the stepped cavity constitutes a stepped dielectric material portion 65.

[00122] Layer contact via structures 86 can be formed in via openings which extend through the retro-stepped dielectric material portion 65. Each of the electrically conductive layers 46 may be contacted by a respective one of the layer contact via structures 86. In one embodiment, top surfaces of the layer contact via structures 86 may be formed within the horizontal plane including the backside surface of the semiconductor material layer 9.

[00123] According to an aspect of the present disclosure, a backside peripheral circuit 920 can be formed on the physically exposed surface, i.e., the backside surface, of the semiconductor material layer 9 of the memory-side substrate (9, 6, 10). The backside peripheral circuit 920 comprises a second subset of logic devices configured to control operation of the three-dimensional memory array of the memory die 900. The backside peripheral circuit 920 may comprise complementary metal oxide semiconductor (CMOS) field effect transistors that form a CMOS circuit. Each of the CMOS field effect transistors may comprise a gate structure 950 and active regions 930. Each gate structure 950 may include a stack of a gate dielectric and a gate electrode. The active regions 930 may include doped source regions and doped drain regions separated by a semiconductor channel.

[00124] Backside dielectric material layers 910 embedding backside metal interconnect structures 918 can be formed over the backside peripheral circuit 920. The backside metal interconnect structures 918 comprise various metal via structures and various metal line structures that provide electrical interconnection between the various components (such as the field effect transistors and other passive components) of the backside peripheral circuit 920. Further, the backside metal interconnect structures 918 provide electrical connection between the layer contact via structures 86 and the backside peripheral circuit 920. The electrically conductive layers 46 can be electrically connected to the backside peripheral circuit 920 via the layer contact via structures 86 and the backside metal interconnect structures 918.

[00125] In one embodiment, at least a portion of the electrically conductive layers 46 may comprise word lines for the three-dimensional memory array, and the second subset of logic devices for controlling operation of the three-dimensional memory array may comprise word line drivers, such as word line switching transistors configured to apply control voltages (e.g., word line on and off switching voltages) to the electrically conductive layers 46.

[00126] In one embodiment, the layer contact via structures 86 contact horizontal surfaces of a respective one of the electrically conductive layers 46 within the staircase region, vertically extend from the respective one of the electrically conductive layers 46 along a vertical direction away from a bonding interface between the memory die 900 and the logic die 700, and are electrically connected to a respective one of the backside metal interconnect structures 918.

[00127] In one embodiment, the semiconductor material layer 10 within the memory-side substrate (9, 6, 10) comprises a single crystalline semiconductor material, and the second subset of logic devices within the backside peripheral circuit 920 comprises field effect transistors including source regions and drain regions that are doped portions of the single crystalline semiconductor material. Gate electrodes of the field effect transistors are more distal from the logic die 700 than the source regions and the drain regions of the first field effect transistors are from the logic die 700.

[00128] Generally, the backside dielectric material layers 910 can be formed on the backside, i.e., a second side, of the combination of three-dimensional memory array and the memory-side substrate (9, 6, 10) that is an opposite side of the first side. The backside dielectric material layers 910 embed backside metal interconnect structures 918 that provide electrical connection between the three-dimensional memory array and the backside peripheral circuit 920.

[00129] Referring to FIG. 14, at least one backside metal structure 902 may be formed over and/or embedded in the backside dielectric material layers 910.

[00130] Referring to FIGS. 15A and 15B, a second exemplary structure which includes a memory die 900 according to a second embodiment of the present disclosure is illustrated. The memory die 900 of the second exemplary structure can be derived from the memory die 900 of the first exemplary structure illustrated in FIG. 9 by omitting the sacrificial material layer 42 replacement steps of FIGS. 6 and 7, and by forming a sacrificial backside trench fill structure 71 within each backside trench 79 at the processing steps of FIG. 8, and subsequently performing the processing steps of FIG. 9. In other words, the memory die 900 of the second exemplary structure includes sacrificial material layers 42 in lieu of the electrically conductive layers 46 within the memory die 900 of FIG. 9, and includes sacrificial backside trench fill structures 71 in lieu of backside trench fill structures (74, 76) within the memory die 900 of FIG. 9.

[00131] The sacrificial backside trench fill structures 71 can be formed by depositing a sacrificial fill material within the backside trenches 79 and by removing excess portions of the sacrificial fill material from outside the backside trenches 79 by performing a planarization process such as a chemical mechanical polishing process. The sacrificial fill material of the sacrificial backside trench fill structures 71 may include amorphous silicon, a silicon -germanium alloy, borosilicate glass, organosilicate glass, amorphous carbon, diamond-like carbon (DLC), a polymer material, or another suitable material that may be removed selective to the materials of the insulating layers 32, the sacrificial material layers 42, the doped semiconductor material layer 10, and the dielectric materials of the memory- side dielectric material layers 960.

[00132] In the second exemplary structure, the three-dimensional memory array can be formed by forming an alternating stack of insulating layers 32 and sacrificial material layers 42 over the memory-side substrate (9, 6, 10). Memory openings 49 vertically extending through the alternating stack (32, 42) are formed, and memory opening fill structures 58 are formed in the memory openings 49. Each of the memory opening fill structures 58 comprises a respective vertical stack of memory elements.

[00133] Referring to FIG. 16, the memory die 900 of the second exemplary structure can be bonded to the logic die 700 of FIG. 10. In one embodiment, metal -to-metal bonding between the memory-side bonding pads 988 and the logic-side bonding pads 788 may be performed to bond the logic die 700 to the memory die 900. Metal-to-metal bonding provides the benefit of allowing subsequent high temperature processing on the bonded assembly at processing temperatures above the temperature range of solder materials.

[00134] In one embodiment, the memory-side metal interconnect structures 980 comprise bit lines 982 of the three-dimensional memory array, and the first subset of logic devices (comprising portions of the logic-side peripheral circuit 720) in the logic die 700 comprises bit line drivers configured to drive the bit lines 982. In this case, the memory-side metal interconnect structures 980, the logic-side metal interconnect structures 780, the memory-side bonding pads 988, and the logic-side bonding pads 788 comprise electrically conductive paths that provide electrical connected between the bit lines 982 and the bit line drivers. Generally, the logic-side peripheral circuit 720 comprises a subset of the logic devices configured to control operation of the three-dimensional memory array and located on the logic-side substrate 709.

[00135] Referring to FIG. 17, the processing steps of FIG. 12 can be performed to thin the semiconductor material layer 9 of the memory-side substrate (9, 6, 10), and to pattern the thinned memory-side substrate (9, 6, 10). Generally, the memory-side substrate (9, 6, 10) can be patterned after bonding the logic-side bonding pads 788 to the memory-side bonding pads 988. A portion of a backside surface of the alternating stack (32, 42) is physically exposed. [00136] Further, the alternating stack (32, 42) of the insulating layers 32 and the sacrificial material layers 42 can be patterned to form a stepped cavity in regions (such as the contact region 300) from which the thinned memory-side substrate (9, 6, 10) is removed. Stepped surfaces can be formed by patterning the alternating stack (32, 42) within an area from which the memory-side substrate (9, 6, 10) is removed. The sacrificial material layers 42 have variable lateral extents that decrease with a vertical distance from a horizontal plane including bonding surfaces of the memory-side bonding pads 988 and the logic-side bonding pads 788.

[00137] A dielectric material such as doped or undoped silicate glass can be deposited in the stepped cavity over the stepped surfaces of the alternating stack (32, 46). The deposited dielectric material can be planarized by removing portions of the deposited dielectric material from above the horizontal plane including the topmost surface (i.e., the backside surface) of the semiconductor material layer 9. A remaining portion of the dielectric material that fills the stepped cavity constitutes a stepped dielectric material portion 65.

[00138] FIGS. 18A - 18D are schematic sequential vertical cross-sectional views of the second exemplary structure during replacement of sacrificial material layers 42 with electrically conductive layers 46.

[00139] Referring to FIG. 18A, through-substrate trenches 177 can be formed through the memory-side substrate (9, 6, 10). For example, a photoresist layer (not shown) can be applied over the backside surface of the semiconductor material layer 9 of the memory-side substrate (9, 6, 10), and can be lithographically patterned with the pattern of the underlying sacrificial backside trench fill structures 71. An anisotropic etch process can be performed to etch through unmasked portions of the memory-side substrate (9, 6, 10) to form the through- substrate trenches 177. A planar surface of a sacrificial backside trench fill structure 71 can be physically exposed at the bottom of each through-substrate trench 177. The photoresist layer can be subsequently removed, for example, by ashing.

[00140] Referring to FIG. 18B, the sacrificial backside trench fill structures 71 can be removed selective to the materials of the alternating stack (32, 42) and the memory-side substrate (9, 6, 10). For example, if the sacrificial backside trench fill structures 71 comprise amorphous carbon, an ashing process may be employed to remove the sacrificial backside trench fill structures 71. Backside trenches 179 are formed, which include the volumes of the through- substrate trenches 177 and the volumes of the backside trenches 79 as formed at the processing steps of FIGS. 5A and 5B. Thus, the backside trenches 179 vertically extend from the physically exposed backside surface of the semiconductor material layer 9 of the memory-side substrate (9, 6, 10) to horizontal surfaces of the memory-side dielectric material layers 960. Generally, the backside trenches 179 vertically extend through the memory-side substrate (9, 6, 10) and the alternating stack (32, 42).

[00141] Referring to FIG. 18C, the processing steps of FIGS. 6 and 7 may be performed with any necessary changes to replace the sacrificial material layers 42 with electrically conductive layers 46. In this case, backside recesses 43 can be formed by removing the sacrificial material layers 42 selective to the insulating layers 32 by providing an isotropic etchant into the backside trenches 179. The electrically conductive layers 46 can be formed in the backside recesses 43 by providing a metal-containing reactant into the backside recesses 43 through the backside trenches 179. Metallic material portions deposited in the backside trenches 179 can be subsequently removed by performing at least one etch process, which may include an isotropic etch process or an anisotropic etch process.

[00142] Referring to FIG. 18D, a dielectric fill material can be deposited in the backside trenches 179 to form backside trench fill structures 176. The dielectric fill material may include undoped silicate glass, a doped silicate glass, silicon oxynitride, silicon nitride, and/or a dielectric metal oxide material. In one embodiment, the backside trench fill structures 176 may include silicon oxide. Dielectric surfaces of the backside trench fill structures 176 may contact sidewalls of the electrically conductive layers 46, sidewalls of the insulating layers 32, and the memory-side substrate (9, 6, 10). In one embodiment, the backside trenches 179 laterally extend along a horizontal direction and vertically extend through an alternating stack of the insulating layers 32 and the electrically conductive layers 46, and to, and through, the memory-side substrate (9, 6, 10).

[00143] Referring to FIG. 19, the second exemplary structure is illustrated after the processing steps of FIG. 18D.

[00144] Referring to FIG. 20, the layer contact via structures 86 can be formed through the retro-stepped dielectric material portion 65. Each of the electrically conductive layers 46 may be contacted by a respective one of the layer contact via structures 86. In one embodiment, top surfaces of the layer contact via structures 86 may be formed within the horizontal plane including the backside surface of the semiconductor material layer 9. [00145] Subsequently, the backside peripheral circuit 920 described above with respect to the first embodiment is formed on the physically exposed surface, i.e., the backside surface, of the semiconductor material layer 9 of the memory-side substrate (9, 6, 10). The backside dielectric material layers 910 embedding backside metal interconnect structures 918 are then formed over the backside peripheral circuit 920, as described above with respect to the first embodiment. The backside metal interconnect structures 918 provide electrical connection between the layer contact via structures 86 and the backside peripheral circuit 920. The electrically conductive layers 46 can be electrically connected to the backside peripheral circuit 920 via the layer contact via structures 86 and the backside metal interconnect structures 918. In one embodiment, the electrically conductive layers 46 may comprise word lines for the three-dimensional memory array, and the second subset of logic devices for controlling operation of the three-dimensional memory array may comprise word line drivers configured to apply control voltages to the electrically conductive layers 46.

[00146] Generally, the backside dielectric material layers 910 can be formed on the backside, i.e., a second side, of the combination of three-dimensional memory array and the memory-side substrate (9, 6, 10) that is an opposite side of the first side. The backside dielectric material layers 910 embed backside metal interconnect structures 918 that provide electrical connection between the three-dimensional memory array and the backside peripheral circuit 920. At least one backside metal structure may be formed over and/or embedded in the backside dielectric material layers 910, as described above with respect to FIG. 14.

[00147] Referring to all drawings and according to various embodiments of the present disclosure, a bonded assembly comprising a memory die 900 that is bonded to a logic die 700 is provided. The memory die 900 comprises: a three-dimensional memory array located on a memory-side substrate (9, 6, 10); memory-side dielectric material layers 960 located on a first side of a combination of three-dimensional memory array and the memory-side substrate (9, 6, 10); memory-side metal interconnect structures 980 and memory-side bonding pads 988 embedded in the memory side dielectric material layers; a backside peripheral circuit 920 comprising a first subset of logic devices configured to control operation of the three- dimensional memory array and located on a backside surface of the memory-side substrate; backside dielectric material layers 910 located on a second side of the combination of three- dimensional memory array and the memory-side substrate (9, 6, 10) that is an opposite side of the first side; and backside metal interconnect structures 918 that provide electrical connection between the three-dimensional memory array and the backside peripheral circuit 920 embedded in the backside dielectric material layers. The logic die 700 comprises: a logic-side peripheral circuit 720 comprising a second subset of the logic devices configured to control operation of the three-dimensional memory array and located on a logic-side substrate 709; logic-side dielectric material layers 760 located between the logic-side substrate 709 and the memory die 900; and logic-side metal interconnect structures 780 and logic-side bonding pads 788 that are bonded to a respective one of the memory-side bonding pads 988 embedded in the logic-side dielectric material layers.

[00148] In one embodiment, the three-dimensional memory array comprises an alternating stack of insulating layers 32 and electrically conductive layers 46, memory openings 49 vertically extending through the alternating stack (32, 46), and memory opening fill structures 58 located within the memory openings 49 and comprising a respective vertical stack of memory elements (which may comprise portions of a memory material layer 54 located at levels of the electrically conductive layers 46).

[00149] In one embodiment, the alternating stack (32, 46) further comprises a staircase region in which the electrically conductive layers 46 having variable lateral extents that decrease with a vertical distance from a horizontal plane including bonding surfaces of the memory-side bonding pads 988. Each of the memory opening fill structures 58 further comprises a vertical semiconductor channel 60.

[00150] In one embodiment, layer contact via structures 86 can contact horizontal surfaces of a respective one of the electrically conductive layers 46 within the stair case region, can vertically extend from the respective one of the electrically conductive layers 46 along a vertical direction away from a bonding interface between the memory die 900 and the logic die 700, and are electrically connected to a respective one of the backside metal interconnect structures 918 and the backside peripheral circuit 920.

[00151] In one embodiment, the first subset of logic devices comprises word line drivers configured to apply control voltages to the electrically conductive layers 46; and the electrically conductive layers 46 comprise word lines of the three-dimensional memory array. [00152] In one embodiment, the memory-side metal interconnect structures 980 comprise bit lines 982 of the three-dimensional memory array; the second subset of logic devices in the logic die 700 comprises bit line drivers configured to drive the bit lines 982; and the memory- side metal interconnect structures 980, the logic-side metal interconnect structures 780, the memory-side bonding pads 988, and the logic-side bonding pads 788 comprise electrically conductive paths that provide electrical connected between the bit lines 982 and the bit line drivers.

[00153] In one embodiment, the memory-side substrate (9, 6, 10) comprises a single crystalline semiconductor material (e.g., the semiconductor material layer 9); the first subset of logic devices within the backside peripheral circuit 920 comprises first field effect transistors including source regions and drain regions that are doped portions of the single crystalline semiconductor material; and gate electrodes of the first field effect transistors are more distal from the logic die 700 than the source regions and the drain regions of the first field effect transistors are from the logic die 700. The additional subset of logic devices within the logic-side peripheral circuit 720 comprise second field effect transistors including source regions and drain regions that are doped portions of a single crystalline semiconductor material of a logic-side substrate 709; and gate electrodes of the second field effect transistors are more proximal to the memory die 900 than the source regions and the drain regions of the second field effect transistors are to the memory die 900.

[00154] In one embodiment, the memory-side substrate (9, 6, 10) comprises: an insulating layer 6 in contact with the single crystalline semiconductor material (e.g., the material of the semiconductor material layer 9); and a doped semiconductor material layer 10 in contact with the memory opening fill structures 58.

[00155] In one embodiment illustrated in FIG. 31, each of the memory opening fill structures 58 further comprises a respective vertical semiconductor channel 60 located in proximity to the respective vertical stack of memory elements and having a doping of a first conductivity type; and the doped semiconductor material layer 10 is in contact with each of the vertical semiconductor channels 60.

[00156] In another embodiment illustrated in FIG. 3H, the doped semiconductor material layer 10 comprises a single crystalline semiconductor material layer; and each of the memory opening fill structures 58 comprises a respective pedestal channel portion 11 comprising a single crystalline semiconductor material portion having a single crystalline lattice structure in epitaxial alignment with a single crystalline semiconductor material of the doped semiconductor material layer 10. [00157] In one embodiment, the bonded assembly comprises: backside trenches (79 or 179) laterally extending along a horizontal direction and vertically extending through the alternating stack (32, 46) and extending to, and/or through, the memory-side substrate (9, 6, 10); and backside trench fill structures {(74, 76) or 176} located within the backside trenches (79 or 179) and comprising dielectric surfaces that contact sidewalls of the alternating stack (32, 46) and the memory-side substrate (9, 6, 10).

[00158] The various embodiments of the present disclosure may be employed to provide the peripheral circuity at two levels of a bonded assembly. Specifically, a logic-side peripheral circuit 720 (e.g., bit line driver) can be provided in a logic die 700, and a backside peripheral circuit 920 (e.g., word line driver) can be provided on the backside of a memory die 900. Metallic via structures for the connecting word lines 46 with the word line driver circuity 920 which vertically extending through the levels of the alternating stack (32, 46) of insulating layers 32 and electrically conductive layers 46 can be eliminated, or can be minimized. This simplifies the device manufacturing and reduces process cost. Further, the lateral electrical wiring to electrically connect various nodes of a three-dimensional memory array to devices of the peripheral circuitry can be reduced by forming the peripheral circuitry at two levels, i.e., at the level of the logic-side peripheral circuit 720 and at the level of the backside support circuit 920. Thus, the overall area of the bonded assembly can be reduced to provide a more compact semiconductor device. In other words, the CMOS driver circuit area may be doubled with no corresponding die size increase. The CMOS devices do not need to stick out from the memory array region 100. Thus, the die size can be reduced, packaging is improved and device performance can be improved with additional memory planes.

[00159] Although the foregoing refers to particular preferred embodiments, it will be understood that the disclosure is not so limited. It will occur to those of ordinary skill in the art that various modifications may be made to the disclosed embodiments and that such modifications are intended to be within the scope of the disclosure. Compatibility is presumed among all embodiments that are not alternatives of one another. The word “comprise” or “include” contemplates all embodiments in which the word “consist essentially of’ or the word “consists of’ replaces the word “comprise” or “include,” unless explicitly stated otherwise. Where an embodiment employing a particular structure and/or configuration is illustrated in the present disclosure, it is understood that the present disclosure may be practiced with any other compatible structures and/or configurations that are functionally equivalent provided that such substitutions are not explicitly forbidden or otherwise known to be impossible to one of ordinary skill in the art. All of the publications, patent applications and patents cited herein are incorporated herein by reference in their entirety.