Login| Sign Up| Help| Contact|

Patent Searching and Data


Title:
SEGREGATED REACTANT DELIVERY USING SHOWERHEAD AND SHROUD
Document Type and Number:
WIPO Patent Application WO/2024/097853
Kind Code:
A1
Abstract:
This disclosure pertains to semiconductor processing chambers with segregated gas delivery using a showerhead and a circumferential shroud that encircles the wafer processing area.

Inventors:
BAILEY III ANDREW D (US)
SUBRAMANYA SPOORTHI (US)
Application Number:
PCT/US2023/078479
Publication Date:
May 10, 2024
Filing Date:
November 02, 2023
Export Citation:
Click for automatic bibliography generation   Help
Assignee:
LAM RES CORPORATION (US)
International Classes:
H01L21/67; C23C16/455
Attorney, Agent or Firm:
SCHOLZ, Christian D. et al. (P.O. BOX 70250Oakland, California, US)
Download PDF:
Claims:
Attorney Docket No.: 10964-1WO_LAMRP841WO CLAIMS What is claimed is: 1. An apparatus comprising: a semiconductor processing chamber that includes a housing having an internal volume; a pedestal configured to support a semiconductor wafer, the pedestal located within the internal volume and within a cylindrical sub-region within the internal volume; a showerhead having a first gas plenum volume located therein and a plurality of first gas distribution ports distributed across a surface of the showerhead facing towards the pedestal; a shroud, the shroud having: an outer wall portion that extends around, and is offset radially outward from, the cylindrical sub-region, a first annular portion that extends radially inward from an upper end of the outer wall portion, and a second annular portion that extends radially inward from a lower end of the outer wall portion, wherein an annular sub-volume exists between the first annular portion and the second annular portion; a first gas inlet fluidically connected with the first gas plenum volume such that the first gas plenum volume is fluidically interposed between the first gas inlet and the first gas distribution ports; and a second gas inlet located in the shroud and fluidically connected with the annular sub- volume. 2. The apparatus of claim 1, further comprising: a first exhaust outlet in the housing, wherein the first exhaust outlet is in fluidic communication with the internal volume of the semiconductor processing chamber; Attorney Docket No.: 10964-1WO_LAMRP841WO a first vacuum pump fluidically connected with the first exhaust outlet such that the first exhaust outlet lies along a flow path from the internal volume of the housing to the first vacuum pump; a second exhaust outlet, wherein the second exhaust outlet is connected with the shroud and fluidically connected with the annular sub-volume; and a second vacuum pump fluidically connected with the second exhaust outlet such that the second exhaust outlet is fluidically interposed between the annular sub-volume and the second vacuum pump. 3. The apparatus of claim 1, further comprising: a third exhaust outlet in the housing, wherein the third exhaust outlet is in fluidic communication with the internal volume of the semiconductor processing chamber; and a third vacuum pump fluidically connected with the third exhaust outlet such that the third exhaust outlet lies along a flow path from the internal volume of the housing to the third vacuum pump, wherein the third vacuum pump is a turbomolecular pump. 4. The apparatus of claim 1, further comprising: a plasma confinement ring; and a vertical lift mechanism configured to translate the plasma confinement ring between a first position and a second position and along a vertical axis responsive to receipt of one or more control signals, wherein: the second annular portion has a plurality of openings therethrough, an upper surface of the plasma confinement ring seals against the second annular portion when the plasma confinement ring is in the first position and does not seal against the second annular portion when the plasma confinement ring is in the second position, and the plasma confinement ring is sized such that the plasma confinement ring blocks the openings in the plurality of openings when the plasma confinement ring is in the first position. Attorney Docket No.: 10964-1WO_LAMRP841WO 5. The apparatus of claim 4, wherein the openings are slots arranged in a circular array. 6. The apparatus of claim 5, wherein: the plasma confinement ring includes a first seal member and a second seal member, the openings lie within an annular zone of the second annular portion, the first seal member, when viewed along the vertical axis, encircles the annular zone, and the second seal member, when viewed along the vertical axis, is encircled by the annular zone. 7. The apparatus of any one of claims 1 through 8, further comprising a shroud inlet valve that is transitionable between a first configuration and a second configuration, wherein: in the first configuration, a sealing surface of the shroud inlet valve seals against a surface of the first annular portion so as to block the second gas inlet, and in the second configuration, the sealing surface of the shroud inlet valve does not seal against the surface of the first annular portion. 8. The apparatus of claim 1, further comprising: a second gas inlet passage that is fluidically connected to the second gas inlet; a plug element positioned within the second gas inlet passage; and a plug actuator configured to move the plug element between a first position relative to the second gas inlet and a second position relative to the second gas inlet, wherein: the plug element, when in the first position, blocks the second gas inlet, and the plug element, when in the second position, does not block the second gas inlet. 9. The apparatus of claim 8, further comprising: Attorney Docket No.: 10964-1WO_LAMRP841WO a feed passage that intersects with, and is fluidically connected with, the second gas inlet passage; and a blocker element positioned within the second gas inlet passage, wherein: the blocker element and the plug element are both connected with one another such that when the plug actuator moves the plug element between the first position and the second position, the blocker element moves in unison with the plug element, the blocker element is, when the plug element is in the first position, positioned so as to block an opening in the second gas inlet passage that leads to the feed passage, and the blocker element is, when the plug element is in the second position, positioned so as to not block the opening in the second gas inlet passage that leads to the feed passage. 10. The apparatus of any one of claims 1 through 9, further comprising a first inlet valve and a second inlet valve that are each configured to be independently switchable between a corresponding open configuration and a corresponding closed configuration, wherein: the first inlet valve, when in the closed configuration, prevents gas flow from a first gas delivery flow path into the first gas plenum volume via the first gas inlet and, when in the open configuration, does not prevent gas flow from the first gas delivery flow path into the first gas plenum volume via the first gas inlet, and the second inlet valve, when in the closed configuration, prevents gas flow from a second gas delivery flow path into the internal volume via the second gas inlet and, when in the open configuration, does not prevent gas flow from the second gas delivery flow path into the internal volume via the second gas inlet. 11. The apparatus of claim 10, further comprising a controller, wherein the controller is configured to: a) cause, during a first phase of a semiconductor manufacturing process, the first inlet valve to be in the open configuration while the second inlet valve is in the closed configuration; and Attorney Docket No.: 10964-1WO_LAMRP841WO b) cause, during a second phase of the semiconductor manufacturing process, the first inlet valve to be in the closed configuration while the second inlet valve is in the open configuration. 12. The apparatus of claim 11, further comprising, if not already present, the elements of any one of claims 4 through 6, wherein the controller is further configured to control the vertical lift mechanism such that: the plasma confinement ring is in the first position during at least part of the second phase, and the plasma confinement ring is in the second position during at least part of the first phase. 13. The apparatus of claim 12, wherein, a gap of less than 20 mm exists between the upper surface of the plasma confinement ring and the second annular portion when the plasma confinement ring is in the second position. 14. The apparatus of any one of claims 11 through 13, further comprising: the elements, if not already present, of claim 2; a first exhaust valve fluidically interposed between the first exhaust outlet and the first vacuum pump; and a second exhaust valve fluidically interposed between the second exhaust outlet and the second vacuum pump, wherein: the first exhaust valve and the second exhaust valve are each configured to be independently switchable between a corresponding open configuration and a corresponding closed configuration, the first exhaust valve, when in the closed configuration, prevents gas flow from the internal volume to the first vacuum pump and, when in the open configuration, does not prevent gas flow from the internal volume to the first vacuum pump, the second exhaust valve, when in the closed configuration, prevents gas flow from the internal volume to the second vacuum pump and, when in the open Attorney Docket No.: 10964-1WO_LAMRP841WO configuration, does not prevent gas flow from the internal volume to the second vacuum pump, and the controller is further configured to: cause, during the first phase of the semiconductor manufacturing process, the first exhaust valve to be in the open configuration while the second exhaust valve is in the closed configuration, and cause, during the second phase of the semiconductor manufacturing process, the first exhaust valve to be in the closed configuration while the second exhaust valve is in the open configuration. 15. The apparatus of any one of claims 11 through 14, further comprising a third inlet valve that is configured to be switchable between a corresponding open configuration and a corresponding closed configuration, wherein: the third inlet valve, when in the closed configuration, prevents gas flow from a third gas delivery flow path into the internal volume and, when in the open configuration, does not prevent gas flow from the third gas delivery flow path into the internal volume, and the controller is further configured to cause, during at least part of the second phase, the third inlet valve to be in the open configuration while the second inlet valve is in the open configuration. 16. The apparatus of claim 15, wherein the controller is further configured to cause the third inlet valve to be in the open configuration the entire time the second inlet valve is in the open configuration in the second phase. 17. The apparatus of any one of claims 11 through 16, further comprising a fourth inlet valve that is configured to be switchable between a corresponding open configuration and a corresponding closed configuration, wherein: the fourth inlet valve, when in the closed configuration, prevents gas flow from a fourth gas delivery flow path into the internal volume via the second gas inlet and, when in the open configuration, does not prevent gas flow from the fourth gas delivery flow path into the internal volume, and Attorney Docket No.: 10964-1WO_LAMRP841WO the controller is further configured to cause, during at least part of the first phase, the fourth inlet valve to be in the open configuration while the first inlet valve is in the open configuration. 18. The apparatus of claim 17, wherein the controller is further configured to cause the fourth inlet valve to be in the open configuration the entire time the first inlet valve is in the open configuration in the first phase. 19. The apparatus of any one of claims 1 through 18, wherein the second gas inlet includes a plurality of orifices, each orifice smaller than 1 mm in diameter. 20. The apparatus of any one of claims 1 through 19, wherein there are a plurality of second gas inlets disposed at spaced-apart locations along at least a quarter of a circumference of the shroud. 21. The apparatus of any one of claims 10 through 18, further comprising one or more temperature control devices, each temperature control device configured to control the temperature of gas flowing within one of the first gas delivery flow path and the second gas delivery flow path. 22. The apparatus of claim 21, wherein at least one of the one or more temperature control devices is a heating jacket. 23. The apparatus of claim 21, wherein at least one of the one or more temperature control devices is a cooling jacket.
Description:
Attorney Docket No.: 10964-1WO_LAMRP841WO SEGREGATED REACTANT DELIVERY USING SHOWERHEAD AND SHROUD RELATED APPLICATION(S) [0001] A PCT Request Form is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed PCT Request Form is incorporated by reference herein in its entirety and for all purposes. BACKGROUND [0002] Semiconductor processing tools typically require the supply of different reactant gases to wafer processing spaces located within one or more semiconductor processing chambers. In some cases, such gases may be supplied via, for example, a dual-plenum showerhead that is positioned above a semiconductor wafer that is being processed and which flows the process gases provided via each plenum across the wafer either simultaneously or sequentially. [0003] Discussed herein are various improvements to gas distribution systems for use in some semiconductor processing systems. SUMMARY [0004] Details of one or more implementations of the subject matter described in this specification are set forth in the accompanying drawings and the description below. Other features, aspects, and advantages will become apparent from the description, the drawings, and the claims. [0005] In some implementations, an apparatus may be provided that includes a semiconductor processing chamber that includes a housing having an internal volume, a pedestal configured to support a semiconductor wafer, the pedestal located within the internal volume and within a cylindrical sub-region within the internal volume, and a showerhead having a first gas plenum volume located therein and a plurality of first gas distribution ports Attorney Docket No.: 10964-1WO_LAMRP841WO distributed across a surface of the showerhead facing towards the pedestal. The apparatus may further include a shroud having an outer wall portion that extends around, and is offset radially outward from, the cylindrical sub-region, a first annular portion that extends radially inward from an upper end of the outer wall portion, and a second annular portion that extends radially inward from a lower end of the outer wall portion, wherein an annular sub-volume exists between the first annular portion and the second annular portion. The apparatus may also include a first gas inlet fluidically connected with the first gas plenum volume such that the first gas plenum volume is fluidically interposed between the first gas inlet and the first gas distribution ports and a second gas inlet located in the shroud and fluidically connected with the annular sub-volume. [0006] In some implementations, the apparatus may further include a first exhaust outlet in the housing that is in fluidic communication with the internal volume of the semiconductor processing chamber. The apparatus may also include a first vacuum pump fluidically connected with the first exhaust outlet such that the first exhaust outlet lies along a flow path from the internal volume of the housing to the first vacuum pump. The apparatus may additionally include a second exhaust outlet connected with the shroud and fluidically connected with the annular sub-volume. The apparatus may also include a second vacuum pump fluidically connected with the second exhaust outlet such that the second exhaust outlet is fluidically interposed between the annular sub-volume and the second vacuum pump. [0007] In some implementations, the apparatus may further include a third exhaust outlet in the housing, the third exhaust outlet in fluidic communication with the internal volume of the semiconductor processing chamber, and a third vacuum pump fluidically connected with the third exhaust outlet such that the third exhaust outlet lies along a flow path from the internal volume of the housing to the third vacuum pump. The third vacuum pump may be a turbomolecular pump. [0008] In some implementations, the apparatus may further include a plasma confinement ring and a vertical lift mechanism configured to translate the plasma confinement ring between a first position and a second position and along a vertical axis responsive to receipt of one or more control signals. The second annular portion of the shroud may have a plurality of Attorney Docket No.: 10964-1WO_LAMRP841WO openings therethrough, an upper surface of the plasma confinement ring may seal against the second annular portion when the plasma confinement ring is in the first position and may not seal against the second annular portion when the plasma confinement ring is in the second position. The plasma confinement ring may also be sized such that the plasma confinement ring blocks the openings in the plurality of openings when the plasma confinement ring is in the first position. [0009] In some such implementations, the openings may be slots arranged in a circular array. [0010] In some further such implementations, the plasma confinement ring may include a first seal member and a second seal member, the openings may lie within an annular zone of the second annular portion, the first seal member, when viewed along the vertical axis, may encircle the annular zone, and the second seal member, when viewed along the vertical axis, may be encircled by the annular zone. [0011] In some implementations, the apparatus may further include a shroud inlet valve that is transitionable between a first configuration and a second configuration. In the first configuration, a sealing surface of the shroud inlet valve may seal against a surface of the first annular portion so as to block the second gas inlet, and in the second configuration, the sealing surface of the shroud inlet valve may not seal against the surface of the first annular portion. [0012] In some implementations of the apparatus, the apparatus may further include a second gas inlet passage that is fluidically connected to the second gas inlet, a plug element positioned within the second gas inlet passage, and a plug actuator configured to move the plug element between a first position relative to the second gas inlet and a second position relative to the second gas inlet. In such implementations, the plug element, when in the first position, may block the second gas inlet, and, when in the second position, may not block the second gas inlet. [0013] In some implementations, the apparatus may further include a feed passage that intersects with, and is fluidically connected with, the second gas inlet passage. The apparatus may also further include a blocker element positioned within the second gas inlet passage. The blocker element and the plug element may both be connected with one another such that Attorney Docket No.: 10964-1WO_LAMRP841WO when the plug actuator moves the plug element between the first position and the second position, the blocker element moves in unison with the plug element. The blocker element may, when the plug element is in the first position, be positioned so as to block an opening in the second gas inlet passage that leads to the feed passage, and may, when the plug element is in the second position, be positioned so as to not block the opening in the second gas inlet passage that leads to the feed passage. [0014] In some implementations, the apparatus may further include a first inlet valve and a second inlet valve that are each configured to be independently switchable between a corresponding open configuration and a corresponding closed configuration. The first inlet valve, when in the closed configuration, may prevent gas flow from a first gas delivery flow path into the first gas plenum volume via the first gas inlet and, when in the open configuration, may not prevent gas flow from the first gas delivery flow path into the first gas plenum volume via the first gas inlet. The second inlet valve, when in the closed configuration, may prevent gas flow from a second gas delivery flow path into the internal volume via the second gas inlet and, when in the open configuration, may not prevent gas flow from the second gas delivery flow path into the internal volume via the second gas inlet. [0015] In some implementations, the apparatus may further include a controller configured to a) cause, during a first phase of a semiconductor manufacturing process, the first inlet valve to be in the open configuration while the second inlet valve is in the closed configuration and b) cause, during a second phase of the semiconductor manufacturing process, the first inlet valve to be in the closed configuration while the second inlet valve is in the open configuration. [0016] In some such implementations also having a plasma confinement ring and a vertical lift mechanism, the controller may be further configured to control the vertical lift mechanism such that the plasma confinement ring is in the first position during at least part of the second phase, and the plasma confinement ring is in the second position during at least part of the first phase. Attorney Docket No.: 10964-1WO_LAMRP841WO [0017] In some further such implementations, a gap of less than 20 mm may exist between the upper surface of the plasma confinement ring and the second annular portion when the plasma confinement ring is in the second position. [0018] In some implementations having the controller and also the first and second exhaust outlets and the first and second vacuum pumps, the apparatus may also include a first exhaust valve fluidically interposed between the first exhaust outlet and the first vacuum pump and a second exhaust valve fluidically interposed between the second exhaust outlet and the second vacuum pump. In such implementations, the first exhaust valve and the second exhaust valve may each be configured to be independently switchable between a corresponding open configuration and a corresponding closed configuration, the first exhaust valve, when in the closed configuration, may prevent gas flow from the internal volume to the first vacuum pump and, when in the open configuration, may not prevent gas flow from the internal volume to the first vacuum pump, and the second exhaust valve, when in the closed configuration, may prevent gas flow from the internal volume to the second vacuum pump and, when in the open configuration, may not prevent gas flow from the internal volume to the second vacuum pump. The controller may also be further configured to cause, during the first phase of the semiconductor manufacturing process, the first exhaust valve to be in the open configuration while the second exhaust valve is in the closed configuration, and, during the second phase of the semiconductor manufacturing process, the first exhaust valve to be in the closed configuration while the second exhaust valve is in the open configuration. [0019] In some implementations with the controller, the apparatus may further include a third inlet valve that is configured to be switchable between a corresponding open configuration and a corresponding closed configuration. The third inlet valve, when in the closed configuration, may prevent gas flow from a third gas delivery flow path into the internal volume and, when in the open configuration, may not prevent gas flow from the third gas delivery flow path into the internal volume. The controller may also be further configured to cause, during at least part of the second phase, the third inlet valve to be in the open configuration while the second inlet valve is in the open configuration. Attorney Docket No.: 10964-1WO_LAMRP841WO [0020] In some implementations, the controller may be further configured to cause the third inlet valve to be in the open configuration the entire time the second inlet valve is in the open configuration in the second phase. [0021] In some implementations, the apparatus may further include a fourth inlet valve that is configured to be switchable between a corresponding open configuration and a corresponding closed configuration. The fourth inlet valve, when in the closed configuration, may prevent gas flow from a fourth gas delivery flow path into the internal volume via the second gas inlet and, when in the open configuration, may not prevent gas flow from the fourth gas delivery flow path into the internal volume. The controller may be further configured to cause, during at least part of the first phase, the fourth inlet valve to be in the open configuration while the first inlet valve is in the open configuration. [0022] In some such implementations, the controller may be further configured to cause the fourth inlet valve to be in the open configuration the entire time the first inlet valve is in the open configuration in the first phase. [0023] In some implementations, the second gas inlet may include a plurality of orifices, each orifice smaller than 1 mm in diameter. [0024] In some implementations, the apparatus may further include a plurality of second gas inlets disposed at spaced-apart locations along at least a quarter of a circumference of the shroud. [0025] In some implementations, the apparatus may further include one or more temperature control devices, each temperature control device configured to control the temperature of gas flowing within one of the first gas delivery flow path and the second gas delivery flow path. [0026] In some such implementations, at least one of the one or more temperature control devices may be a heating jacket. [0027] In some such implementations, at least one of the one or more temperature control devices may be a cooling jacket. Attorney Docket No.: 10964-1WO_LAMRP841WO [0028] The above are not intended to be an exclusive list of implementations, and it will be understood that additional implementations within the scope of this disclosure will be evident from the discussion below and the accompanying figures. BRIEF DESCRIPTION OF THE DRAWINGS [0029] Reference to the following Figures is made in the discussion below; the Figures are not intended to be limiting in scope and are simply provided to facilitate the discussion below. [0030] FIG.1 depicts a cutaway perspective view of an example apparatus that includes a semiconductor processing chamber. [0031] FIG.2 depicts a side section view of the apparatus of FIG.1 (with some additional components additionally shown, such as a chamber door and various exhaust valves) when in a wafer-loading configuration. [0032] FIG.3 depicts a side-section view of the apparatus 100 when in a wafer-processing configuration. [0033] FIG.5 shows an isometric cutaway view of a shroud without other elements of the semiconductor processing chamber visible. [0034] FIG.4 depicts a detail section view of the outer sides of the shroud with other components of the semiconductor processing chamber 122 visible as well and with the interior of the shroud omitted. [0035] FIG.6 depicts a detail view of one side of a shroud and plasma confinement ring(s) showing the plasma confinement ring(s) in a first position. [0036] FIG.7 depicts a detail view of one side of a shroud and plasma confinement ring(s) showing the plasma confinement ring(s) in a second position. [0037] FIG.8 depicts an alternative implementation of an apparatus that may be used to practice the techniques discussed herein. [0038] FIGS.9 and 10 show an example shroud inlet valve in a first configuration and in a second configuration, respectively. Attorney Docket No.: 10964-1WO_LAMRP841WO [0039] The above-described Figures are provided to facilitate understanding of the concepts discussed in this disclosure, and are intended to be illustrative of some implementations that fall within the scope of this disclosure, but are not intended to be limiting—implementations consistent with this disclosure and which are not depicted in the Figures are still considered to be within the scope of this disclosure. DETAILED DESCRIPTION [0040] As noted previously, semiconductor processing tools or chambers may sometimes be configured to deliver different process gases to a wafer processing region above a pedestal via a dual-plenum (or multi-plenum) showerhead. Such showerheads typically include multiple internal plenum volumes that are fluidically isolated from one another within the showerhead; each such plenum volume may be fluidically connected within the showerhead with one or more corresponding gas inlets and a corresponding plurality of gas distribution ports. The one or more gas inlets for a plenum volume may be used to flow a corresponding process gas into that plenum volume, and the plurality of gas distribution ports for that plenum volume may then be used to flow that process gas out of the showerhead and into a wafer processing region (usually in a distributed manner across the wafer processing region). The gases that are flowed through the different plenums may, depending on the particular needs of a semiconductor process, be flowed at least partially simultaneously or may be flowed sequentially. The latter approach may be used to minimize the potential for direct mixing of the two gases within the wafer processing region or near the underside of the showerhead. The gases that are flowed into the wafer processing region are then typically evacuated from the semiconductor processing chamber housing the wafer processing region via one or more vacuum pumps that typically have inlets located underneath the pedestal such that the process gases are caused to flow radially outward across a wafer being processed and are then drawn downward into an exhaust system connected with the one or more vacuum pumps. [0041] The present inventors conceived of a new gas distribution system in which a shroud that is designed to span between the showerhead and the pedestal is equipped with one or Attorney Docket No.: 10964-1WO_LAMRP841WO more gas inlets and one or more exhaust outlets. In such a system, process gases may be flowed vertically downward from the showerhead (which may be a single-plenum or multi- plenum design) and into the wafer processing region, much as in the systems described earlier above. However, a process gas may also be flowed into the wafer processing region from the side via the gas inlet(s) of the shroud. The shroud may also be equipped with an exhaust outlet or outlets that are fluidically connected with a vacuum pump that is separate from the vacuum pump(s) that are used to draw gases provided via the showerhead out of the semiconductor processing chamber. [0042] Such an arrangement allows a process gas to be delivered to the wafer processing region via the shroud gas inlet(s) and to be evacuated from the wafer processing region via the shroud exhaust outlet(s), thereby bypassing the need for such a process gas to flow through the showerhead or be evacuated from the processing chamber via the same exhaust outlet and pumps used to evacuate the process gases provided via the showerhead. This may help reduce the potential for such a gas to react with residual gas delivered from the showerhead that may still remain within the larger volume of the semiconductor processing chamber and/or the exhaust system (exhaust port(s), lines, and vacuum pumps) thereof and/or be deposited or adsorbed onto surfaces thereof. This, in turn, may reduce the potential for reactions between such gases in undesired locations, e.g., within the exhaust systems, on surfaces of a turbomolecular pump, on walls of the semiconductor processing chamber, etc. [0043] This may be particularly useful in the context of semiconductor processing systems that are equipped with liquid delivery system functionality, e.g., in which one or more of the process gases includes one or more vaporized reactants that are suspended in a gaseous medium. In such systems, the vaporized reactants that are present may condense or adsorb onto the surfaces of the flow paths along which such process gases flow. As a result, it may be difficult to completely purge such wetted flow paths so that the reactants that may have condensed onto the surfaces thereof are no longer present or such that the amount of such reactants, if still present, is within a desirable limit. Such liquid residues may then react with other reactants that may flow along all or part of such flow paths. For example, such residues may condense on surfaces such as the sidewalls of the processing chamber or on the blades or Attorney Docket No.: 10964-1WO_LAMRP841WO sidewalls of a turbomolecular pump that may be used to evacuate processing gases from the processing chamber. When such residues are then exposed to a subsequent flow of a different process gas that is reactive with the residues, this can cause a chemical reaction that results in damage, e.g., undesired etching or deposition, to components or locations having such residues. [0044] A further benefit of such systems is that by segregating the different process gases that may be introduced into the wafer processing region, it is possible have completely separate gas delivery flow paths leading to the showerhead and shroud. This allows for the gas delivery lines that are used to provide the various gases to the showerhead and shroud to be independently managed, e.g., with respect to temperature. For example, one or more of such gas delivery flow paths may be equipped with a heating jacket and/or cooling jacket along at least part or parts of its length that allows for that gas delivery flow path to be controllably heated and/or cooled, thereby allowing each process gas that is introduced into the wafer processing region to be maintained at a different temperature. For example, it may be desirable to maintain the flow of process gas into the shroud at an elevated temperature compared to the flow of process gas into the showerhead so as to reduce the risk of condensation of a vaporized reactant in the process gas to be delivered to the shroud. At the same time, it may be undesirable to maintain the process gas that is to be delivered to the showerhead at that same temperature. Having separate gas flow paths for the process gases to both the showerhead and the shroud allows such differing temperatures in the process gases to be maintained. [0045] FIG.1 depicts a cutaway perspective view of an example apparatus 100 that includes a semiconductor processing chamber 102. FIG.2 depicts a side section view of the apparatus 100 of FIG.1 (with some additional components indicated, such as the chamber door 103 and various exhaust valves) when in a wafer-loading configuration and FIG.3 depicts a side-section view of the apparatus 100 when in a wafer-processing configuration. [0046] The semiconductor processing chamber 102 may have a housing 104, e.g., having a body portion 104a and a lid portion 104b. The body portion 104a and the lid portion 104b may Attorney Docket No.: 10964-1WO_LAMRP841WO be connected together to enclose an internal volume 106 that may be maintained at a particular pressure during semiconductor processing operations. [0047] The internal volume 106 may house within it a pedestal 110 that may have a wafer support surface that is configured to support a semiconductor wafer (not shown) during processing. The pedestal 110 may, in some implementations, include an electrostatic chuck that is configured to develop a clamping force on a wafer placed on the pedestal. A showerhead 114 may be part of or, as shown, suspended below the lid portion 104b. The showerhead 114 may have one or more first gas inlets 136 that may be fluidically connected with the first gas plenum volume 116 such that the first gas plenum volume 116 is fluidically interposed between the first gas inlet(s) 136 and the first gas distribution ports 118. The first gas distribution ports 118 may be distributed across an underside of the showerhead 114, e.g., a surface facing towards the pedestal 110, so as to distribute process gases flowed into the first gas plenum volume 116 across a wafer 108 that may be supported on the pedestal 110. The showerhead 114 may, in some implementations, be made from aluminum or may be a composite structure, e.g., an assembly featuring an aluminum, e.g., a 6061 aluminum alloy, component that is connected with other components. For example, the showerhead may include a 6061 aluminum alloy structure that defines the first gas plenum volume and the first gas distribution ports. The showerhead may also have a silicon electrode faceplate that forms the underside of the showerhead but has holes therethrough in order to allow the gas from the first gas distribution ports to flow therethrough. [0048] The depicted showerhead 114 is what is referred to as a chandelier-type showerhead, which usually features a large, disk-like base containing the first gas plenum volume 116 and much smaller-diameter stem that allows for gas to be flowed into the first gas plenum volume 116 and for the base to be mechanically supported within the internal volume 106. In other instances, the showerhead 114 may be a flush-mount showerhead in which the base is assembled as part of the lid portion 104b or is provided by the lid portion 104b itself. It will be understood that either option may be used in the example apparatus 100. It will be further understood that while the showerhead 114 that is shown is a single-plenum showerhead 114, Attorney Docket No.: 10964-1WO_LAMRP841WO other implementations may feature multi-plenum showerheads, e.g., having multiple different gas plenum volumes. [0049] The semiconductor processing chamber 102 may also be connected with or have one or more first exhaust outlets 140 that may lead to a first vacuum pump 146. The one or more first exhaust outlets 140 may be fluidically connected with the internal volume 106 of the semiconductor processing chamber 102. The first vacuum pump 146 may be fluidically connected with the first exhaust outlet(s) 140 such that the first exhaust outlet(s) 140 lie along a flow path from the internal volume 106 to the first vacuum pump 146. Process gases introduced into a wafer processing region 133 via the showerhead 114 may be drawn into the remainder of the internal volume 106 and then evacuated from the internal volume 106 via first exhaust outlet(s) 140 by the first vacuum pump 146. The semiconductor processing chamber 102 may also include a third exhaust outlet 144 that may be fluidically connected with the internal volume 106. The semiconductor processing chamber 102 may also include a third vacuum pump 150 that is fluidically connected with the third exhaust outlet 144 such that the third exhaust outlet 144 lies along a flow path from the internal volume 106 to the third vacuum pump 150 (a second exhaust outlet 142 and a second vacuum pump 148 are also discussed later below). In some cases, the first vacuum pump 146 may be fluidically connected with both the first exhaust outlet 140 and the third exhaust outlet 144. The first vacuum pump 146 may be a roughing pump or other pump that is configured to be able to evacuate gas from the internal volume 106, e.g., in the pressure regime of ~0.1 Pa or higher. The third vacuum pump 150 may, for example, be a turbomolecular pump that is configured to evacuate gas molecules from the internal volume 106 at lower pressures that the first vacuum pump 146 is unable to operate in efficiently. [0050] The internal volume 106 may also house within it a shroud 122. FIG.5 shows an isometric cutaway view of the shroud 122 without other elements of the semiconductor processing chamber 102 visible. FIG.4 depicts a detail section view of the sides of the shroud 122 with other components of the semiconductor processing chamber 122 visible as well; the interior of the shroud 122 has been omitted. Attorney Docket No.: 10964-1WO_LAMRP841WO [0051] As can be more clearly seen from FIGS.5 and 4, the shroud 122 may generally consist of an outer wall portion 124 that extends around, and is radially offset outward from, a cylindrical sub-region, e.g., a region bounded between annular sub-volume 134 and the wafer processing region 133, that contains the pedestal 110 (or that at least contains the portion of the pedestal 110 that is configured to support the semiconductor wafer). [0052] The shroud 122 may also have a first annular portion 130 that extends radially inward from an upper end of the outer wall portion 124 and a second annular portion 132 that extends radially inward from a lower end of the outer wall portion 124. The first annular portion 130 and the second annular portion 132 may be spaced apart from each other vertically so as to form the annular sub-volume 134 between them. [0053] The second annular portion 132 may have a plurality of openings 158 through it. The openings 158 may be arranged in a circular array, e.g., centered on a center axis of the second annular portion 132 of the shroud 122. In some implementations, the openings 158 may be radial slots, as shown in FIG.5, although other shapes may be used for the openings 158 in other implementations. The openings 158 may lie within an annular zone 164 of the second annular portion 132 and may be used provide exhaust flow paths from the wafer processing region 133 to the internal volume 106 of the semiconductor processing chamber 102. In some implementations, the shroud 122 may be silicon. [0054] One or more plasma confinement rings 154 may be provided beneath the second annular portion 132. The plasma confinement rings 154 (or at least the uppermost one if there are multiple plasma confinement rings 154) may generally be sized such that they block the openings 158 when the uppermost plasma confinement ring (or the plasma confinement ring 154, if there is only one) is in a first position in which it seals against the underside of the second annular portion 132. FIG.6 depicts a detail view of one side of the shroud 122 and plasma confinement ring(s) 154 showing the plasma confinement ring(s) 154 in the first position. The plasma confinement rings may, for example, be made of a ceramic material, e.g., alumina or silicon nitride, in some implementations. [0055] The plasma confinement ring(s) may be movable, e.g., via a vertical lift mechanism 156 (e.g., that may have one or more linear actuators that may be activated to cause the Attorney Docket No.: 10964-1WO_LAMRP841WO plasma confinement rings to move up or down), such that they may be moved between at least the first position and a second position in which the plasma confinement ring(s) 154 do or does not block the openings 158, e.g., such that the plasma confinement ring(s) do not seal against the second annular portion 132. FIG.7 depicts a detail view of one side of the shroud 122 and plasma confinement ring(s) 154 showing the plasma confinement ring(s) 154 in the second position. As can be seen in FIG.7, the plasma confinement ring(s) may be moved downward by some distance from the first position such that a gap “X” exists between the plasma confinement ring(s) 154 and the underside of the second annular portion 132. In some cases, the gap “X” may be selected so as to be smaller than a plasma sheath thickness of a plasma that may be generated within the wafer processing region 133 during semiconductor processing operations, thereby preventing the plasma housed within the wafer processing region 133 from escaping into the remainder of the internal volume 106. However, the gap “X” and the size and perimeter of the openings 158 may be large enough that gas may still be efficiently evacuated from the wafer processing region 133 via the openings 158, e.g., via the first and/or third exhaust outlets 140 and 144, respectively. In some implementations, the gap “X” may be 20 mm or less in size. [0056] The shroud may, in some instances, be connected with the showerhead 114 and/or the lid portion 104a of the housing 104 such that when the pedestal 110 is caused to descend, e.g., via an actuator located in the base (not shown) of the pedestal 110, the shroud 122 remains in place, thereby allowing an elevational gap to form between the second annular portion 132 and the pedestal 110 to allow a semiconductor wafer 108 (see FIG.1) to be inserted into or removed from the wafer processing region 133 along a radial direction, e.g., through chamber door 103. The vertical lift mechanism 156 may also be controllable to lower the plasma confinement ring(s) 154 to a third position that is lower than the second position, e.g., such that the plasma confinement ring(s) 154 are positioned beneath where the semiconductor wafer 108 will transit when being placed on or retrieved from the pedestal 110. [0057] In some implementations, the uppermost surface of the plasma confinement ring(s) 154 that may seal against the underside of the second annular portion 132 when the plasma confinement ring(s) 154 are in the first position may additionally include sealing features, e.g., a Attorney Docket No.: 10964-1WO_LAMRP841WO first seal member 160 and a second seal member 162. The first seal member 160 may encircle the annular zone 164 while the second seal member 162 may be encircled by the annular zone 164, e.g., when viewed along a vertical axis. In other words, the first seal member 160 and the second seal member 162 may define an annular region between them that is sized and positioned such that all of the openings 158 lie within this annular region, thereby allowing the first seal member 160 and the second seal member 162 to seal the annular zone 164, and thus the openings 158, when the plasma confinement ring(s) are in the first position. The first seal member 160 and the second seal member 162 may be, for example, large-diameter O-rings or similar compliant seal members. However, in other implementations, the first seal member 160 and the second seal member 162 may be omitted and sealing between the plasma confinement ring(s) 154 and the second annular portion 132 may be provided simply by face- to-face contact between the uppermost surface of the plasma confinement ring(s) 154 and the underside of the second annular portion 132. In some implementations, the plasma confinement ring 154, or the uppermost plasma confinement ring 154, may be considered to seal against the underside of the second annular portion 132 even though that plasma confinement ring 154 does not contact the underside of the second annular portion 132, e.g., the plasma confinement ring 154 may be positioned to be within 0.25 mm or less of the underside of the second annular portion 132. In such implementations, the plasma confinement ring 154 may be close enough to the underside of the second annular portion 132 that it has the practical effect of sealing the wafer processing region 133 off from the remainder of the internal volume 106. In other words, the seal that is provided by the plasma confinement ring 154 may not necessarily be a hermetic seal and may allow for some small amount of gas leakage past the seal. [0058] When the plasma confinement ring(s) are in the first position, sealing the openings 158, the wafer processing region 133 and the annular sub-volume 134 may be effectively sealed off from the remainder of the internal volume 106. When this has occurred, a process gas may be flowed into the annular sub-volume 134 and the wafer processing region 133 via one or more second gas inlets 138 (which may be fluidically connected with the annular sub-volume 134) and then evacuated from the annular sub-volume 134 and the wafer processing region Attorney Docket No.: 10964-1WO_LAMRP841WO 133 via one or more second exhaust outlets 142. The second exhaust outlet(s) 142 may be connected with the shroud 122 and fluidically connected with the annular sub-volume 134. A second vacuum pump 148 may be fluidically connected with the second exhaust outlet(s) 142 such that the second exhaust outlet(s) are fluidically interposed between the annular sub- volume 134 and the second vacuum pump 148. [0059] As noted above, the seal that may be formed between the plasma confinement ring 154 that is closest to the underside of the second annular portion 132 and the underside of the second annular portion 132 may not, in some cases, be hermetic. However, the seal that is formed would still have the effect of largely constraining the gas that is flowed into the wafer processing region 133 via the one or more second gas inlets 138 and then evacuated therefrom via the one or more second exhaust outlets 142 to the wafer processing region 133, thereby limiting or preventing exposure of the remainder of the internal volume 106 to the process gases flowed from the one or more second gas inlets 138. [0060] During such gas flow, the flow of process gas through the showerhead 114 may be stopped or replaced with a flow of a different process gas, such as an inert gas (such as a noble gas, such as argon, or a gas that is generally non-reactive with the process chemistry being used, e.g., nitrogen (or a mixture of two or more such gases), in order to discourage diffusion of the process gas delivered via the second gas inlet(s) 138 into the first gas plenum volume 116. [0061] Each second gas inlet 138 may, for example, be provided by a corresponding port or orifice in the shroud 122 or, in some implementations (and as shown) by multiple smaller ports or orifices, e.g., on the order of a millimeter or two in diameter or smaller, that are arranged in a tight cluster. In the depicted example, the second gas inlet 138 is provided by a set of seven smaller ports or orifices, e.g., each a millimeter or two in diameter or smaller, that are arranged in a circular array with a single such port in the center of the array. By keeping the port size smaller, e.g., less than the anticipated thickness of the plasma sheath that may be developed within the wafer processing region 133, the second gas inlet may prevent plasma that may be generated within the wafer processing region 133 from migrating upstream past the second gas inlet(s) 138. While the shroud 122 shown has only one second gas inlet, it will be appreciated that other implementations may feature multiple second gas inlets, e.g., disposed at spaced- Attorney Docket No.: 10964-1WO_LAMRP841WO apart locations along at least a quarter of a circumference of the shroud. It will also be appreciated that the orifice or orifices of each second gas inlet 138 may, in some implementations, be angled relative to the center axis of the shroud 122 such that the end(s) of the orifice(s) that exit the underside of the first annular portion 130 are closer to the center axis of the shroud 122 than the end(s) of the orifice(s) that exit the top side of the first annular portion 130. Such an arrangement may impart an inward radial velocity vector to the second process gas as it exits the second gas inlet 138, thereby directing such gas towards the wafer processing region 133. [0062] It will be understood that the apparatus 100 of FIGS.1 through 7 may be operated in a variety of configurations. For example, as shown in FIG.2, the vertical lift mechanism 156 may be actuated so as to cause the plasma confinement rings 154 to descend to a point that is low enough that a wafer 108 passed into the internal volume 106 via the chamber door 103 is able to pass over the plasma confinement ring(s). Similarly, the pedestal 110 may be able to be lowered and/or the lift pins 152 raised so as to lift the wafer 108 off of the pedestal (or, conversely, the pedestal 110 raised and/or the lift pins 152 lowered) so as to place the wafer 108 onto, or remove the wafer 108 from, the pedestal 110. Such a configuration may facilitate wafer loading and unloading operations. [0063] The configuration shown in FIG.3 depicts the apparatus 100 in an in-use state, e.g., during wafer processing operations. There may be various phases that the apparatus 100 may be in during such operations. A controller (not shown) may be operably connected with the various components discussed above, as well as components described below, in order to cause various components to operate so as to facilitate transitioning from one phase to the next. Various process gases may be provided to the components described above via various gas delivery flow paths that each provide a process gas or gases from one or more process gas sources. Valves may be provided along each gas delivery flow path to allow gas flow therethrough to be controlled by the controller. [0064] For example, a first gas delivery flow path 192 may deliver a first process gas from a first process gas source 192' to the first gas inlet(s) 136. Flow of the first process gas along the first gas delivery flow path 192 may be controlled by a first inlet valve 184, which may be Attorney Docket No.: 10964-1WO_LAMRP841WO controlled by the controller. Similarly, a second gas delivery flow path 194 may deliver a second process gas from a second process gas source 194' to the second gas inlet(s) 136. Flow of the second process gas along the second gas delivery flow path 194 may be controlled by a second inlet valve 186, which may also be controlled by the controller. In some implementations and as discussed earlier, one or more of the gas delivery flow paths may have a heating or cooling jacket along all or part of its length. For example, in the implementation of FIG.1, the segments of the first and second gas delivery flow paths 192 and 194 are encased in heating jackets 199a and 199b, respectively. The heating jackets 199a and 199b may, for example, include resistive heating blankets that may be caused to emit heat when supplied with electrical power. Alternatively, the heating jackets may include fluid flow conduits that may coil around, or travel parallel to, the gas delivery flow paths to allow a heating fluid (or, if used as cooling jackets, a cooling fluid) to be flowed along part of the flow paths in order to heat (or cool) the flow paths and the gases flowed within them. Such flow path temperature- control devices may, for example, be controlled by a controller in order to separately control the temperature of gas within each flow path. [0065] As mentioned earlier, it may be desirable in some implementations to flow an inert gas through various systems during various phases of operation. To that end, in some implementations, a third gas delivery flow path 196 may deliver a third process gas, e.g., an inert gas, from a third process gas source 196' to the first gas inlet(s) 136. Flow of the third process gas along the third gas delivery flow path 196 may be controlled by a third inlet valve 188, which may be controlled by the controller. Similarly, a fourth gas delivery flow path 198 may deliver a fourth process gas, e.g., an inert gas, from a fourth process gas source 198' to the second gas inlet(s) 136. Flow of the fourth process gas along the fourth gas delivery flow path 198 may be controlled by a fourth inlet valve 190, which may also be controlled by the controller. It will be understood that the gas sources providing inert gases may, if present, also be provided as a single gas source that supplies inert gas to multiple different gas flow paths. [0066] The various inlet valves discussed above may be configured to be able to each be controllably (and independently) switchable between at least an open configuration and a closed configuration. The first inlet valve may, in the open configuration, allow gas flowed Attorney Docket No.: 10964-1WO_LAMRP841WO through the first gas delivery flow path 192 to flow into the first gas plenum volume 116 via the first gas inlet 136 and, in the closed configuration, prevent gas from the first gas delivery flow path 192 from flowing into the first gas plenum volume 116 via the first gas inlet 136. The second inlet valve may, in the open configuration, allow gas flowed through the second gas delivery flow path 194 to flow into the internal volume 106 (or, more specifically, into the annular sub-volume 134 and the wafer processing region 133 within the internal volume 106) via the second gas inlet(s) 138 and, in the closed configuration, prevent gas from the second gas delivery flow path 194 from flowing into the internal volume 106 via the second gas inlet 138. [0067] Similarly, the third inlet valve may, in the open configuration, allow gas flowed through the third gas delivery flow path 196 to flow into the internal volume 106, e.g., via the first gas plenum volume 116 and the first gas inlet 136, and, in the closed configuration, prevent gas from the third gas delivery flow path 192 from flowing into the internal volume 106, e.g., via the first gas inlet 136 and the first gas plenum volume 116. The fourth inlet valve may, in the open configuration, allow gas flowed through the fourth gas delivery flow path 198 to flow into the internal volume 106 (or, more specifically, into the annular sub-volume 134 and the wafer processing region 133 within the internal volume 106) via the second gas inlet(s) 138 and, in the closed configuration, prevent gas from the fourth gas delivery flow path 198 to flow into the internal volume 106 via the second gas inlet 138. [0068] There may also, in some implementations, be additional valves that may be configured to control gas flow to the various vacuum pumps discussed earlier; such valves may similarly each be independently switchable between at least an open configuration and a closed configuration. For example, in some implementations, a first exhaust valve 185 may be provided. The first exhaust valve 185 may be positioned such that it is able to control gas flow through the first exhaust outlet 140 to the first vacuum pump 146 and may be switchable between an open configuration in which the first exhaust valve 185 does not prevent gas flow from the internal volume 106 to the first vacuum pump 146 and a closed configuration in which the first exhaust valve 185 prevents gas flow from the internal volume 106 to the first vacuum pump 146. A second exhaust valve 187 may, in some cases, also or alternatively be provided and may be positioned so as to be able to control flow from the wafer processing region 133 Attorney Docket No.: 10964-1WO_LAMRP841WO and annular sub-volume 134 to the second vacuum pump 148 via the second exhaust outlet(s) 142. The second exhaust valve 187 may be switchable between an open configuration in which the second exhaust valve 185 does not prevent gas flow from the wafer processing region 133 and the annular sub-volume 134 to the second vacuum pump 146 and a closed configuration in which the second exhaust valve 187 prevents gas flow from the wafer processing region 133 and the annular sub-volume 134 to the second vacuum pump 148. [0069] There may also or alternatively be a third exhaust valve 183 that may be positioned so as to allow the third exhaust valve 183 to be able to control flow from the internal volume 106 to the third vacuum pump 150. The third exhaust valve 183 may be switchable between an open configuration in which the third exhaust valve 183 does not prevent gas flow from the internal volume 106 to the third vacuum pump 150 and a closed configuration in which the third exhaust valve 183 prevents gas flow from the internal volume 106 to the third vacuum pump 150. [0070] The flow of gases from these various gas sources, as well as the positioning of various components within the apparatus 102, may be controlled to transition the apparatus 102 between different phases of operation. [0071] For example, the controller may be configured so as to cause, during a first phase of a semiconductor manufacturing process, the first inlet valve 184 to be in the open configuration while the second inlet valve 186 is in the closed configuration, and, during a second phase of the semiconductor manufacturing process, the first inlet valve to be in the closed configuration while the second inlet valve is in the open configuration. Thus, during the first phase, a first process gas or gases may be flowed out of the showerhead 114 and onto the wafer while the second process gas is not flowed into the wafer processing region 133. In the second phase, the first process gas or gases is not flowed out of the showerhead 114 and onto the wafer while the second process gas is flowed into the wafer processing region 133. This allows the flows of the first process gas and the second process gas into the semiconductor processing chamber 102 to be separately introduced into the wafer processing region 116, thereby reducing the chances of the two gases mixing. Attorney Docket No.: 10964-1WO_LAMRP841WO [0072] In some implementations, the controller may be further configured to control the vertical lift mechanism 156 such that the plasma confinement ring(s) are in the first position during at least part (and in some cases, all or nearly all) of the second phase and such that the plasma confinement ring(s) are in the second position during at least part (and in some cases, all or nearly all) of the first phase. When the plasma confinement ring(s) are in the first position, the openings 158 in the shroud 122 may be blocked, thereby sealing the wafer processing region 133 and the annular sub-volume 134 off from the remainder of the internal volume 106 and generally preventing the flow of the second process gas into the internal volume 106 at large. Instead, the second process gas may be evacuated from the wafer processing region 133 and the annular sub-volume 134 by way of the second exhaust outlet(s) 138 and the second vacuum pump 148. [0073] When the plasma confinement ring(s) are in the second position, process gas flowed into the wafer processing region 133 may be allowed to exit the wafer processing region 133 via the openings 158 in the shroud 122. This helps facilitate maintaining separation between the first process gas flow and the second process gas flow, which may prevent the first process gas and the second process gas from mixing and producing unwanted byproducts on surfaces of the semiconductor processing chamber 102. [0074] In some implementations, the controller may be further configured to cause, during at least part of the second phase, the third inlet valve 188 to be in the open configuration contemporaneously with the second inlet valve 186 being in the open configuration. This may cause the third process gas, e.g., an inert gas, to flow from the showerhead 116 while the second process gas is being flowed through the second gas inlet 138 and into the wafer processing region 133 and the annular sub-volume 134. This may help discourage the second process gas from attempting to flow up into the showerhead 114, where it may mix with residual first process gas and potentially create particulates within the showerhead 114 that may require that the showerhead 114 be removed and cleaned or replaced. In some implementations, the controller may cause the third inlet valve 188 to be in the open configuration the entire time the second inlet valve 186 is in the open configuration. Such an Attorney Docket No.: 10964-1WO_LAMRP841WO implementation may help ensure that there is always an inert gas flowing from the showerhead 114 while the second process gas is being flowed into the wafer processing region 133. [0075] Similarly, in some additional or alternative such implementations, the controller may be further configured to cause, during at least part of the first phase, the fourth inlet valve 190 to be in the open configuration contemporaneously with the first inlet valve 184 being in the open configuration. This may cause the fourth process gas, e.g., an inert gas, to flow from the second gas inlet 138 while the first process gas is being flowed through the first gas inlet 136 and showerhead 114 and into the wafer processing region 133 and the annular sub-volume 134. This may help discourage the first process gas from attempting to flow up into the second gas inlet(s) 138, where it may mix with residual second process gas and potentially create particulates within the second gas inlet 138 that may require that cleaning or replacement of components. In some implementations, the controller may cause the fourth inlet valve 190 to be in the open configuration the entire time the first inlet valve 184 is in the open configuration. Such an implementation may help ensure that there is always an inert gas flowing from the second gas inlet(s) 138 while the first process gas is being flowed into the wafer processing region 133. [0076] In some instances, the controller may be further configured to control the first inlet valve 184 and the second inlet valve 186 to both be in the closed configuration during a third phase that may be performed in between the first and second phases. The third inlet valve 188 and/or the fourth inlet valve 190 may also be caused by the controller to be in the open configuration during the third phase. This may allow the wafer processing region 133 and the annular sub-volume 134 to be swept clear of whatever residual first process gas and/or second process gas may be remaining within the wafer processing region 133 and the annular sub- volume 134 prior to initiating a subsequent flow of the first process gas or the second process gas. [0077] In some implementations, the controller may be further configured to cause the first exhaust valve 185 (and optionally the third exhaust valve 183) to be in the open configuration during the first phase while the second exhaust valve 187 is in the closed configuration and the first exhaust valve 185 (and optionally the third exhaust valve 183) to be in the closed Attorney Docket No.: 10964-1WO_LAMRP841WO configuration during the second phase while the second exhaust valve 187 is in the open configuration. This may help ensure that only the first process gas is evacuated by the first and/or third vacuum pumps 146 and 150, respectively, and such that only the second process gas is evacuated by the second vacuum pump 148. It will also be appreciated that the flow of the second process gas during the second phase may be performed at a different time from when the second exhaust valve 187 is caused to be open. For example, in some implementations, a predetermined amount of the second process gas may be flowed into the wafer processing region 133 via the second gas inlet 138 and then allowed to simply stay resident within the wafer processing region 133 for some period of time after the flow of the second process gas into the wafer processing region 133 has ceased and without any active attempt to evacuate the second process gas from the wafer processing region 133 during that period of time. After the second process gas has been allowed to stay resident within the wafer processing region 133 for that period of time, the second process gas may then be evacuated from the wafer processing region 133 via the second exhaust outlet 142. During such evacuation there may be no flow of gas from the second gas outlet 138 or, in some instances, an inert gas may be flowed from the second gas outlet 138 in order to help flush residual second process gas from the wafer processing region 133. [0078] FIG.8 depicts an alternative implementation of an apparatus that may be used to practice the techniques discussed herein. The implementation of FIG.8 is identical to that of FIG.1 except that the second gas inlet 838 has been equipped with a shroud inlet valve 168 and the gas flow paths leading to the second gas inlet 838 are routed slightly differently. Elements in FIG.8 that are numbered with the same last two digits as similar elements in FIGS.1 through 7 may be assumed to be the same as their counterparts in FIGS.1 through 7 and the discussion above with respect to FIGS.1 through 7 may be applied to such elements in FIG.8 as well. [0079] The shroud inlet valve 868 may be used to provide additional isolation between the annular sub-volume 834 and the second gas delivery flow path 894. The shroud inlet valve 868 may be transitionable between a first configuration and a second configuration. In the first configuration, a sealing surface of the shroud inlet valve 868 may seal against a surface of the first annular portion (or a surface of the shroud 822 more generally) so as to block the second Attorney Docket No.: 10964-1WO_LAMRP841WO gas inlet 838. In the second configuration, the sealing surface of the shroud inlet valve 868 may not seal against the surface of the first annular portion (or the shroud 822 more generally), thereby allowing gas to flow through the second gas inlet 838. As with the plasma confinement rings, such sealing may involve surface-to-surface contact between the sealing surface of the shroud inlet valve 868 and the surface of the first annular portion, or may instead involve these two surfaces not actually contacting but being positioned very close to one another, e.g., within 0.25 mm of each other. [0080] FIGS.9 and 10 show an example shroud inlet valve 868 in the first configuration (FIG. 9) and in the second configuration (FIG.10). The shroud inlet valve 868 shown in FIGS.9 and 10 may include a plug element 872 that may be connected with a plug actuator 876, e.g., a solenoid or other linear drive system. The plug actuator 876 may be controllable by the controller so as to cause the plug element 872 to be able to be moved up and down (or along) a second gas inlet passage 878 that may span between the second gas inlet 838 and a location outside of the internal volume 806 and may fluidically connect the second gas inlet 838 with, for example, the second gas delivery flow path 894. [0081] The plug actuator 876 may be configured to be able to move the plug element 872 between a first position relative to the second gas inlet 838 in which the plug element 872 blocks the second gas inlet 838 and a second position relative to the second gas inlet 838 in which the plug element 872 does not block the second gas inlet 838. A bellows 875 may be provided to seal the movable element of the shroud inlet valve 868 to the lid portion 804b of the housing 804. [0082] The bottom surface of the plug element 872 that contacts (or is within 0.25 mm of, in some implementations) the shroud 822 may be used as a sealing surface that is large enough in area that it covers the various orifices that may be included in the second gas inlet 838 when the plug element 872 is in the first position. The second gas inlet passage 878 may have an internal diameter that is larger than the plug element 872 so that the plug element 872 can move freely along the centerline of the second gas inlet passage 878 responsive to actuation of the plug actuator 876. At the same time, the plug element 872 may be sized such that there is a radial gap, e.g., 1 mm to several mm, between the plug element 872 and the sidewalls of the Attorney Docket No.: 10964-1WO_LAMRP841WO second gas inlet passage 878, thereby allowing gases that are flowed through the second gas inlet passage 878 to pass by the plug element 872 when the plug element 872 is in the second position. [0083] In some implementations, the shroud inlet valve 868 may also include a feed passage 880 that may intersect with, and be fluidically connected with, the second gas inlet passage 878, e.g., in a T-junction, and the plug element 872 may be structurally linked with a blocker element 874 that is also positioned within the second gas inlet passage 878. The blocker element 874 and the plug element 872 may, due to the structural link between them (in this case, the structural link is a shaft that is smaller in diameter than either the plug element 872 or the blocker element 874), move in unison as a single unitary part or assembly. The blocker element 874 may be positioned relative to the plug element 872 such that when the plug element 872 is in the first position, the blocker element 874 is positioned where the feed passage 880 connects with the second gas inlet passage 878. The blocker element 874 may be sized to be slightly smaller than the diameter of the second gas inlet passage 878 at the location of the T-junction such that there is only a small radial gap between the blocker element 874 and the sidewalls of the second gas inlet passage 878. The blocker element 874 may thus act as a flow restrictor that may prevent or discourage any gas that may leak into the second gas inlet passage 878 past the plug element 872 from reaching the feed passage 880. When the plug element 872 is in the second configuration, the blocker element 874 may be moved out of the flow path from the feed passage 880 to the second gas inlet 838, thereby removing the obstruction to flow from the feed passage 880 that the blocker element 874 provides. [0084] The shroud inlet valve may be caused by the controller to enter the first position during, for example, the first phase (thereby sealing the second gas inlet 838 from potential leaks of the first process gas. The shroud inlet valve may also be caused by the controller to enter the second position when flow the second process gas (or the fourth process gas) is desired. [0085] The systems and assemblies such as are described herein include a controller that may be included as part of a semiconductor processing tool incorporating the semiconductor processing chamber(s) discussed herein. The systems discussed above may be integrated with Attorney Docket No.: 10964-1WO_LAMRP841WO electronics for controlling their operation before and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the systems disclosed herein, including operation of the various valves that may control the flow of purge gas and/or the evacuation of gas so as to draw a vacuum, operation of heater elements, the operation of various valves that may control the flow of process gases, the operation of vertical lift mechanisms for moving plasma confinement rings and/or lift pins up and down, the operation of electrostatic chucks or clamping electrodes, or various other components that may be included in, or provided in association with, semiconductor processing chambers as described herein. [0086] Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular operation using a semiconductor processing chamber as described herein. [0087] The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current process, or to start a new process. In some Attorney Docket No.: 10964-1WO_LAMRP841WO examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber, e.g., a VTM, in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a purge gas flow operations to a pedestal assembly as described herein. [0088] Without limitation, semiconductor processing chambers as described herein may be connected with one or more other pieces of equipment, including a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, or any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers. [0089] As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material Attorney Docket No.: 10964-1WO_LAMRP841WO transport that bring containers of wafers, e.g., FOUPs, to and from tool locations and/or load ports in a semiconductor manufacturing factory. [0090] For the purposes of this disclosure, the term “fluidically connected” is used with respect to volumes, plenums, holes, etc., that may be connected with one another, either directly or via one or more intervening components or volumes, in order to form a fluidic connection, similar to how the term “electrically connected” is used with respect to components that are connected together to form an electric connection. The term “fluidically interposed,” if used, may be used to refer to a component, volume, plenum, or hole that is fluidically connected with at least two other components, volumes, plenums, or holes such that fluid flowing from one of those other components, volumes, plenums, or holes to the other or another of those components, volumes, plenums, or holes would first flow through the “fluidically interposed” component before reaching that other or another of those components, volumes, plenums, or holes. For example, if a pump is fluidically interposed between a reservoir and an outlet, fluid that flowed from the reservoir to the outlet would first flow through the pump before reaching the outlet. The term "fluidically adjacent," if used, refers to placement of a fluidic element relative to another fluidic element such that there are no potential structures fluidically interposed between the two elements that might potentially interrupt fluid flow between the two fluidic elements. For example, in a flow path having a first valve, a second valve, and a third valve placed sequentially therealong, the first valve would be fluidically adjacent to the second valve, the second valve fluidically adjacent to both the first and third valves, and the third valve fluidically adjacent to the second valve. [0091] The use, if any, of ordinal indicators, e.g., (a), (b), (c)… or (1), (2), (3)… or the like, in this disclosure and claims is to be understood as not conveying any particular order or sequence, except to the extent that such an order or sequence is explicitly indicated. For example, if there are three steps labeled (i), (ii), and (iii), it is to be understood that these steps may be performed in any order (or even concurrently, if not otherwise contraindicated) unless indicated otherwise. For example, if step (ii) involves the handling of an element that is created in step (i), then step (ii) may be viewed as happening at some point after step (i). Similarly, if step (i) involves the handling of an element that is created in step (ii), the reverse is to be Attorney Docket No.: 10964-1WO_LAMRP841WO understood. It is also to be understood that use of the ordinal indicator “first” herein, e.g., “a first item,” should not be read as suggesting, implicitly or inherently, that there is necessarily a “second” instance, e.g., “a second item.” [0092] It is to be understood that the phrases “for each <item> of the one or more <items>,” “each <item> of the one or more <items>,” or the like, if used herein, are inclusive of both a single-item group and multiple-item groups, i.e., the phrase “for … each” is used in the sense that it is used in programming languages to refer to each item of whatever population of items is referenced. For example, if the population of items referenced is a single item, then “each” would refer to only that single item (despite the fact that dictionary definitions of “each” frequently define the term to refer to “every one of two or more things”) and would not imply that there must be at least two of those items. Similarly, the term “set” or “subset” should not be viewed, in itself, as necessarily encompassing a plurality of items—it will be understood that a set or a subset can encompass only one member or multiple members (unless the context indicates otherwise). [0093] The term “between,” as used herein and when used with a range of values, is to be understood, unless otherwise indicated, as being inclusive of the start and end values of that range. For example, between 1 and 5 is to be understood to be inclusive of the numbers 1, 2, 3, 4, and 5, not just the numbers 2, 3, and 4. [0094] The term “operatively connected” is to be understood to refer to a state in which two components and/or systems are connected, either directly or indirectly, such that, for example, at least one component or system can control the other. For example, a controller may be described as being operatively connected with a resistive heating unit, which is inclusive of the controller being connected with a sub-controller of the resistive heating unit that is electrically connected with a relay that is configured to controllably connect or disconnect the resistive heating unit with a power source that is capable of providing an amount of power that is able to power the resistive heating unit so as to generate a desired degree of heating. The controller itself likely cannot supply such power directly to the resistive heating unit due to the currents involved, but it will be understood that the controller is nonetheless operatively connected with the resistive heating unit. Attorney Docket No.: 10964-1WO_LAMRP841WO [0095] It is understood that the examples and implementations described herein are for illustrative purposes only and that various modifications or changes in light thereof will be suggested to persons skilled in the art. Although various details have been omitted for clarity’s sake, various design alternatives may be implemented. Therefore, the present examples are to be considered as illustrative and not restrictive, and the disclosure is not to be limited to the details given herein but may be modified within the scope of the disclosure. [0096] It is to be understood that the above disclosure, while focusing on a particular example implementation or implementations, is not limited to only the discussed example, but may also apply to similar variants and mechanisms as well, and such similar variants and mechanisms are also considered to be within the scope of this disclosure.